Part Number Hot Search : 
SE078 SPX431A BLV862 H16102DF RF201 WM8352 SMB480 STK6962C
Product Description
Full Text Search
 

To Download MPC7450 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 Freescale Semiconductor, Inc. Advance Information
MPC7450EC/D Rev. 4, 11/2001 MPC7450 RISC Microprocessor Hardware Specifications
Freescale Semiconductor, Inc...
, OR T The MPC7450 is a reduced instruction set computing (RISC) microprocessor that implements UC describes pertinent electrical and the PowerPC instruction set architecture. This document D physical characteristics of the MPC7450. For functional characteristics of the processor, refer ON IC to the MPC7450 RISC Microprocessor Family User's Manual. M SE This document contains the following topics: E L Topic Page CA S E Section 1.1, "Overview" 1 RE Section 1.2, "Features" 3 F Y Section 1.3, "Comparison with the MPC7400" 7 B D "General Parameters" E Section 1.4, 9 IV H Section 1.5, "Electrical and Thermal Characteristics" 9 RC 30 A Section 1.6, "Pin Assignments"
Section 1.7, "Pinout Listings for the 483 CBGA Package" Section 1.8, "Package Description" Section 1.9, "System Design Information" Section 1.10, "Document Revision History" Section 1.11, "Ordering Information" To locate any published updates for this document, refer to the website at http://www.motorola.com/semiconductors 31 34 36 48 49
C IN
.
1.1
Overview
The MPC7450 is the third implementation of the fourth generation (G4) microprocessors from Motorola. The MPC7450 implements the full PowerPC 32-bit architecture and is targeted at networking and computing systems applications. The MPC7450 consists of a processor core, a 256-Kbyte L2, and an internal L3 tag and controller which support a glueless backside L3 cache through a dedicated high bandwidth interface. Figure 1 shows a block diagram of the MPC7450. The core is a high-performance superscalar design supporting a double-precision floating-point unit and a SIMD multimedia unit. The memory storage subsystem supports the MPX bus interface to main memory and other system resources. The L3 interface supports 1 or 2 Mbytes of external SRAM for L3 cache data.
For More Information On This Product, Go to: www.freescale.com
Freescale Semiconductor, Inc...
Overview
2
Instruction Unit Branch Processing Unit Fetcher Tags IBAT Array BHT (2048-Entry) Dispatch Unit Data MMU SRs (Original) FPR Issue (2-Entry/1-Issue) DBAT Array 128-Entry DTLB Tags LR BTIC (128-Entry) CTR Instruction Queue (12-Word) SRs (Shadow) 128-Entry ITLB Instruction MMU 128-Bit (4 Instructions) 32-Kbyte I Cache 32-Kbyte D Cache
Additional Features * Time Base Counter/Decrementer * Clock Multiplier * JTAG/COP Interface * Thermal/Power Management * Performance Monitor
96-Bit (3 Instructions) VR Issue (4-Entry/2-Issue) GPR Issue (6-Entry/3-Issue)
H RC A D VE I
Reservation Stations (2-Entry)
BY
Vector Touch Queue
EA
Load/Store Unit Vector Touch Engine + (EA Calculation) Finished Stores L1 Castout PA FPR File 16 Rename Buffers Reservation Stations (2)
E RE F
Reservation Reservation Reservation Reservation Station Station Station Station VR File 16 Rename Buffers Integer Unit 2 x/ +++ Integer Integer Integer Unit 122 Unit Unit (3) 16 Rename Buffers Reservation Stations (2) GPR File Reservation Reservation Reservation Station Station Station
E AL SC
Vector Permute Unit Vector FPU
Vector Integer Unit 2
Vector Integer Unit 1
FloatingPoint Unit L1 Push + x/
32-Bit 128-Bit 128-Bit
O IC EM
32-Bit 32-Bit
S
Figure 1. MPC7450 Block Diagram
Completed Stores L3 Cache Controller Line Block 0/1 Tags Status L3CR Bus Accumulator 18-Bit Address External SRAM (1 or 2 Mbytes) Bus Accumulator 36-Bit Address Bus 64-Bit Data Bus 64-Bit Data (8-Bit Parity)
FPSCR Load Miss 64-Bit 64-Bit
Freescale Semiconductor, Inc.
MPC7450 RISC Microprocessor Hardware Specifications
Memory Subsystem System Bus Interface L2 Prefetch (3) Bus Store Queue Push Castout Queue (9) 256-Kbyte Unified L2 Cache/Cache Controller Line Block 0 (32-Byte) Block 1 (32-Byte) Tags Status Status L1 Castouts (4) L2 Store Queue (L2SQ) Snoop Push/ Interventions
For More Information On This Product, Go to: www.freescale.com
Completion Unit
, OR T UC ND
Completion Queue (16-Entry)
L1 Service Queues L1 Store Queue (LSQ)
C IN .
L1 Load Queue (LLQ) L1 Load Miss (5) Instruction Fetch (2) Cacheable Store Request (1)
MOTOROLA
Completes up to three instructions per clock
Freescale Semiconductor, Inc.
Features
1.2
Features
This section summarizes features of the MPC7450 implementation of the PowerPC architecture. Major features of the MPC7450 are as follows: Major features of the MPC7450 are as follows: * High-performance, superscalar microprocessor -- As many as 4 instructions can be fetched from the instruction cache at a time -- As many as 3 instructions can be dispatched to the issue queues at a time -- As many as 12 instructions can be in the instruction queue (IQ) -- Single-cycle execution for most instructions -- As many as 16 instructions can be at some stage of execution simultaneously R,
Freescale Semiconductor, Inc...
*
O CT U -- One instruction per clock cycle throughput for most instructions ND O -- Seven-stage pipeline control IC Eleven independent execution units and three registerM files SE E -- Branch processing unit (BPU) features static and dynamic branch prediction AL - 128-entry (32-set, four-way set-associative) branch target instruction cache (BTIC), a C EShave been encountered in branch/loop code sequences. If cache of branch instructionsE that a target instruction is in FR BTIC, it is fetched into the instruction queue a cycle sooner the than it can be madeBY available from the instruction cache. Typically, a fetch that hits the BTIC provides the first four instructions in the target stream. D E - 2048-entry IV branch history table (BHT) with two bits per entry for four levels of H prediction-- RC A not-taken, strongly not-taken, taken, strongly taken
- Up to three outstanding speculative branches - Branch instructions that do not update the count register (CTR) or link register (LR) are often removed from the instruction stream. - 8-entry link register stack to predict the target address of Branch Conditional to Link Register (bclr) instructions. -- Four integer units (IUs) that share 32 GPRs for integer operands - Three identical IUs (IU1a, IU1b, and IU1c) can execute all integer instructions except multiply, divide, and move to/from special-purpose register instructions. - IU2 executes miscellaneous instructions including the CR logical operations, integer multiplication and division instructions, and move to/from special-purpose register instructions. -- Five-stage FPU and a 32-entry FPR file - Fully IEEE 754-1985-compliant FPU for both single- and double-precision operations - Supports non-IEEE mode for time-critical operations - Hardware support for denormalized numbers - Thirty-two 64-bit FPRs for single- or double-precision operands -- Four vector units and 32-entry vector register file (VRs) - Vector permute unit (VPU)
C IN
.
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
3
Freescale Semiconductor, Inc.
Features
- Vector integer unit 1 (VIU1) handles short-latency AltiVec integer instructions, such as vector add instructions (vaddsbs, vaddshs, and vaddsws, for example) - Vector integer unit 2 (VIU2) handles longer -latency AltiVec integer instructions, such as vector multiply add instructions (vmhaddshs, vmhraddshs, and vmladduhm, for example). - Vector floating-point unit (VFPU) -- Three-stage load/store unit (LSU) - Supports integer, floating-point and vector instruction load/store traffic
. - Four-entry vector touch queue (VTQ) supports all four architected AltiVec data stream NC operations ,I R
- Three-cycle GPR and AltiVec load latency (byte, half-word, word, vector) with 1-cycle O CT throughput
Freescale Semiconductor, Inc...
- Four-cycle FPR load latency (single, double) with 1-cycle throughput ND - Dedicated adder calculates effective addresses (EAs) SE - Supports store gathering
- No additional delay for misaligned access withinIC double-word boundary
M
O
U
*
E AL C - Performs alignment, normalization, and precision conversion for floating-point data ES E - Executes cache control and TLB instructions FR - Performs alignment, zero padding, and sign extension for integer data BY D misses (multiple outstanding misses) E - Supports hits under IV - SupportsH big- and little-endian modes, including misaligned little-endian accesses both RC FIQ, VIQ, and GIQ can accept as many as one, two, and three instructions, A Three issue queues
respectively, in a cycle. Instruction dispatch requires the following: -- Instructions can be dispatched only from the three lowest IQ entries--IQ0, IQ1, and IQ2. -- A maximum of three instructions can be dispatched to the issue queues per clock cycle. -- Space must be available in the CQ for an instruction to dispatch (this includes instructions that are assigned a space in the CQ but not in an issue queue).
*
Rename buffers -- 16 GPR rename buffers -- 16 FPR rename buffers -- 16 VR rename buffers
* *
Dispatch unit -- The decode/dispatch stage fully decodes each instruction. Completion unit -- The completion unit retires an instruction from the 16-entry completion queue (CQ) when all instructions ahead of it have been completed, the instruction has finished execution, and no exceptions are pending. -- Guarantees sequential programming model (precise exception model) -- Monitors all dispatched instructions and retires them in order
4
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Features
-- Tracks unresolved branches and flushes instructions after a mispredicted branch -- Retires as many as three instructions per clock cycle * Separate on-chip L1 instruction and data caches (Harvard architecture) -- 32-Kbyte, eight-way set-associative instruction and data caches -- Pseudo least-recently-used (PLRU) replacement algorithm -- 32-byte (eight-word) L1 cache block -- Physically indexed/physical tags -- Cache write-back or write-through operation programmable on a per-page or per-block basis . -- Instruction cache can provide four instructions per clock cycle; data cache N provide four I can R, words per clock cycle O -- Caches can be disabled in software
C
Freescale Semiconductor, Inc...
-- Caches can be locked in software
-- MESI data cache coherency maintained in hardwareIC -- Separate copy of data cache tags for efficient SE snooping -- Parity support on cache and tags
M
O
T UC ND
*
E AL C -- No snooping of instruction cache except for icbi instruction ES E -- Data cache supports AltiVec LRU and transient instructions FR -- Critical double- and/orBY quad-word forwarding is performed as needed. Critical quad-word D AltiVec loads and instruction fetches. Other accesses use critical forwarding is used for E double-word forwarding. IV H Level 2 (L2)RC interface cache A
-- On-chip, 256-Kbyte, 8-way set associative unified instruction and data cache -- Fully pipelined to provide 32 bytes per clock cycle to the L1 caches -- A total 9-cycle load latency for an L1 data cache miss that hits in L2 -- Pseudo least-recently-used (PLRU) replacement algorithm -- Cache write-back or write-through operation programmable on a per-page or per-block basis -- 64-byte, two-sectored line size -- Parity support on cache
*
Level 3 (L3) cache interface -- Provides critical double-word forwarding to the requesting unit -- Internal L3 cache controller and tags -- External data SRAMs -- Support for 1- and 2-Mbyte L3 caches -- Cache write-back or write-through operation programmable on a per-page or per-block basis -- 64-byte (1 M) or 128-byte (2 M) sectored line size -- Private memory capability for half (1-Mbyte minimum) or all of the L3 SRAM space -- Supports MSUG2 dual data rate (DDR) synchronous Burst SRAMs, PB2 pipelined synchronous Burst SRAMs, and pipelined (register-register) Late Write synchronous Burst SRAMs
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
5
Freescale Semiconductor, Inc.
Features
-- Supports parity on cache and tags -- Configurable core-to-L3 frequency divisors -- 64-bit external L3 data bus sustains 64 bits per L3 clock cycle * Separate memory management units (MMUs) for instructions and data -- 52-bit virtual address; 32- or 36-bit physical address -- Address translation for 4-Kbyte pages, variable-sized blocks, and 256-Mbyte segments -- Memory programmable as write-back/write-through, caching-inhibited/caching-allowed, and memory coherency enforced/memory coherency not enforced on a page or block basis
Freescale Semiconductor, Inc...
*
, OR T - Both TLBs are 128-entry, two-way set associative, and use LRU replacement algorithm UC - TLBs are hardware- or software-reloadable (that is, on aD N TLB miss a page table search is performed in hardware or by system software) ICO M Efficient data flow SE E -- Although the VR/LSU interface is 128 bits, the L1/L2/L3 bus interface allows up to 256 bits. AL C -- The L1 data cache is fully pipelined to provide 128 bits/cycle to or from the VRs ES -- L2 cache is fully pipelined toRE provide 256 bits per processor clock cycle to the L1 cache. F -- As many as 8 outstanding, out-of-order, cache misses are allowed between the L1 data cache BY and L2/L3 bus. D VE -- As many as 16Iout-of-order transactions can be present on the MPX bus H -- Store merging for multiple store misses to the same line. Only coherency action taken RC A (address-only) for store misses merged to all 32 bytes of a cache block (no data tenure
-- Separate instruction and data translation lookaside buffers (TLBs) needed). -- Three-entry finished store queue and five-entry completed store queue between the LSU and the L1 data cache -- Separate additional queues for efficient buffering of outbound data (such as cast outs and write through stores) from the L1 data cache and L2 cache
-- Separate IBATs and DBATs (four each) also defined as SPRs
C IN
.
*
Multiprocessing support features include the following: -- Hardware-enforced, MESI cache coherency protocols for data cache -- Load/store with reservation instruction pair for atomic memory references, semaphores, and other multiprocessor operations
*
Power and thermal management -- 1.6-V processor core (1.8-V processor core still supported) -- The following three power-saving modes are available to the system: - Nap--Instruction fetching is halted. Only those clocks for the thermal assist unit (TAU), time base, decrementer, and JTAG logic remain running. The part goes into the doze state to snoop memory operations on the bus and then back to nap using a QREQ/QACK processor-system handshake protocol. - Sleep--Power consumption is further reduced by disabling bus snooping, leaving only the PLL in a locked and running state. All internal functional units are disabled. - Deep sleep--When the part is in the sleep state, the system can disable the PLL resulting.
6
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Comparison with the MPC7400
The system can then disable the SYSCLK source for greater system power savings. Power-on reset procedures for restarting and relocking the PLL must be followed on exiting the deep sleep state. -- Thermal management facility provides software-controllable thermal management. Thermal management is performed through the use of three supervisor-level registers and an MPC7451-specific thermal management exception. -- Instruction cache throttling provides control of instruction fetching to limit power consumption. * * * Performance monitor can be used to help debug system designs and improve software efficiency. . In-system testability and debugging features through JTAG boundary-scan capability IN Testability -- LSSD scan design -- IEEE 1149.1 JTAG interface * Reliability and serviceability
Freescale Semiconductor, Inc...
-- Array built-in self test (ABIST)--factory test only
S LE bus -- Parity checking on system bus and L3 cache CA -- Parity checking on L1, L2, and L3S cache arrays E RE F 1.3 ComparisonBY with the MPC7400 D Table 1 compares the key features of the MPC7450 with the key features of the earlier MPC7400. To achieve VE I a higher frequency, the number of logic levels per cycle is reduced. Also, to achieve this higher frequency, CH the pipeline of the AR MPC7450 is extended (compared to the MPC7400), while maintaining the same level of
performance as measured by the number of instructions executed per cycle (IPC).
Table 1. Microarchitecture Comparison
Microarchitectural Specs MPC7450 Basic Pipeline Functions Logic Inversions per Cycle Pipeline Stages up to Execute Total Pipeline Stages (Minimum) Pipeline Maximum Instruction Throughput Pipeline Resources Instruction Buffer Size Completion Buffer Size Renames (Integer, Float, Vector) 12 16 16, 16, 16 Maximum Execution Throughput SFX Vector Scalar Floating-Point 3 2 (Any 2 of 4 Units) 1 2 2 (Permute/Fixed) 1 6 8 6, 6, 6 18 5 7 3 + Branch 28 3 4 2 + Branch MPC7400/MPC7410
O IC EM
, OR T UC ND
C
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
7
Freescale Semiconductor, Inc.
Comparison with the MPC7400 Table 1. Microarchitecture Comparison (continued)
Microarchitectural Specs MPC7450 MPC7400/MPC7410
Out-of-Order Window Size in Execution Queues SFX Integer Units Vector Units Scalar Floating-Point Unit 1 Entry x 3 Queues In Order, 4 Queues In Order Branch Processing Resources Prediction Structures BTIC Size, Associativity BHT Size BTIC, BHT, Link Stack 128-Entry, 4-Way 1 Entry x 2 Queues In Order, 2 Queues In Order
Freescale Semiconductor, Inc...
Link Stack Depth Unresolved Branches Supported Branch Taken Penalty (BTIC Hit) Minimum Misprediction Penalty
E AL Execution Unit Timings (Latency-Throughput) C ES Aligned Load (Integer, Float, Vector) 3-1, 4-1, 3-1 RE F Misaligned Load (Integer, Float, Vector) 4-2, 5-2, 4-2 BY L1 Miss, L2 Hit Latency 6 (9) ED SFX (aDd Sub, Shift, Rot, Cmp, Logicals) 1-1 V HI 32 x 32) 32 3-1, 3-1, 4-2 Integer Multiply (32 x 8, C x 16, AR Scalar Float 5-1
6 VSFX (Vector Simple) VCFX (Vector Complex) VFPU (Vector Float) VPER (Vector Permute) MMUs MMUs (Instruction and Data) Tablewalk Mechanism 1-1 4-1 4-1 2-1
S
, OR 2K-Entry T UC 8D 3 ON IC 1 EM
C. NBTIC, BHT I
512-Entry None 2 0 4
64-Entry, 4-Way
2-1, 2-1, 2-1 3-2, 3-2, 3-2 9 (11)1 1-1 2-1, 3-2, 5-4 3-1 1-1 3-1 4-1 1-1
128-Entry, 2-Way Hardware + Software L1 I Cache/D Cache Features
128-Entry, 2-Way Hardware
Size Associativity Locking Granularity/Style Parity on I Cache Parity on D Cache Number of D Cache Misses (Load/Store) Data Stream Touch Engines
32K/32K 8-Way 4-Kbyte/Way Word Byte 5/1 4 Streams On-Chip Cache Features
32K/32K 8-Way Full Cache None None 8 (Any Combination) 4 Streams
Cache Level
L2
None (Except L1)
8
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
General Parameters Table 1. Microarchitecture Comparison (continued)
Microarchitectural Specs Size/Associativity Access Width Number of 32-Byte Sectors/Line Parity Off-Chip Cache Support Cache Level On-Chip Tag Logical Size Associativity L3 1MB, 2MB 8-Way MPC7450 256-Kbyte/8-Way 256 Bits 2 Byte MPC7400/MPC7410 N/A N/A N/A N/A
Freescale Semiconductor, Inc...
Number of 32-Byte Sectors/Line Off-Chip Data SRAM Support Data Path Width Direct Mapped SRAM Sizes Parity
1
Numbers in parentheses are for 2:1 SRAM.
BY D 1.4 General Parameters E IV H The following list provides a summary of the general parameters of the MPC7450: RC A
Technology Die size Transistor count Logic design Packages Core power supply I/O power supply 0.18 m CMOS, six-layer metal 8.69 mm x 12.17 mm (106 mm2) 33 million Fully static MPC7450: Surface mount 483 ceramic ball grid array (CBGA) 1.6 V 50 mV DC nominal; (operation up to 1.8 V is supported; see Table 4 for the recommended operating conditions) 1.8 V 5% DC or 2.5 V 5% DC or 1.5 V 5% DC (L3 interface only)
E RE F
E AL SC
, OR 2-Way CT 2, 4 1, 2, 4 DU NLW, PB2 MSUG2 DDR, LW, PB2, PB3 O IC64 64 EM 2 Mbytes 0.5 Mbyte, 1 Mbyte, S 1 Mbyte,
2 Mbytes Byte Byte
. L2 NC 1MB, 2MB I 0.5MB,
1.5
1.5.1
Electrical and Thermal Characteristics
DC Electrical Characteristics
This section provides the AC and DC electrical specifications and thermal characteristics for the MPC7450.
The tables in this section describe the MPC7450 DC electrical characteristics. Table 2 provides the absolute maximum ratings.
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
9
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics Table 2. Absolute Maximum Ratings1
Characteristic Core supply voltage PLL supply voltage Processor bus supply voltage BVSEL = 0 BVSEL = HRESET or OVDD L3 bus supply voltage L3VSEL = HRESET L3VSEL = 0 L3VSEL = HRESET or GVDD Input voltage Processor bus L3 bus JTAG signals Storage temperature range Symbol VDD AVDD OVDD OVDD GVDD GVDD Maximum Value -0.3 to 1.95 -0.3 to 1.95 -0.3 to 1.95 -0.3 to 2.7 -0.3 to 1.65 -0.3 to 1.95 Unit V V V V Notes 4 4 3, 6 3, 7 3, 8 3, 9 3, 10 2, 5 2, 5
Freescale Semiconductor, Inc...
6. 7. 8. 9. 10.
E Notes: ALTable 4. Absolute maximum ratings are stress ratings C 1. Functional and tested operating conditions are given in only, and functional operation at the maximums is not guaranteed. Stresses beyond those listed may affect device ES E reliability or cause permanent damage toR device. F the by more than 0.3 V at any time including during power-on reset. 2. Caution: Vin must not exceed OVDD or GVDD BY 3. Caution: OVDD/GVDD must not exceed VDD/AVDD by more than 2.0 V at any time including during power-on D reset. VE 4. Caution: VDD/AVDD mustInot exceed OVDD/GVDD by more than 0.4 V at any time including during power-on H reset. RC 5. Vin may overshoot/undershoot to a voltage and for a maximum duration as shown in Figure 2. A
BVSEL must be set to 0, such that the bus is in 1.8 V mode. BVSEL must be set to HRESET or 1, such that the bus is in 2.5 V mode. L3VSEL must be set to HRESET (inverse of HRESET), such that the bus is in 1.5 V mode. L3VSEL must be set to 0, such that the bus is in 1.8 V mode. L3VSEL must be set to HRESET or 1, such that the bus is in 2.5 V mode.
N ,I GVDD -0.3 to 2.7 R TO + 0.3 -0.3 to OVDD Vin C DU -0.3 to GVDD + 0.3 Vin ON to OV + 0.3 -0.3 VinC DD I M -55 to 150 SE Tstg
C.
V V V V V V C
10
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Figure 2 shows the undershoot and overshoot voltage on the MPC7450.
OVDD/GVDD + 20% OVDD/GVDD + 5% OVDD/GVDD
VIH
VIL
Freescale Semiconductor, Inc...
GND GND - 0.3 V GND - 0.7 V
O IC M Not to Exceed 10% SE of tSYSCLK E AL Figure 2. Overshoot/Undershoot Voltage C ES E The MPC7450 provides several I/O voltages to support both compatibility with existing systems and FR core voltage must always be provided at nominal 1.6 V (see migration to future systems. The MPC7450 BY Table 4 for actual recommended core voltage). Voltage to the L3 I/Os and processor interface I/Os are D E provided through separate sets of supply pins and may be provided at the voltages shown in Table 3. The IV input voltage thresholdCHeach bus is selected by sampling the state of the voltage select pins at the negation for R of the signal HRESET. The output voltage will swing from GND to the maximum voltage applied to the A
OVDD or GVDD power pins.
Table 3. Input Threshold Voltage Setting
BVSEL Signal 0 HRESET HRESET 1 Processor Bus Input Threshold is Relative to: 1.8 V Not Available 2.5 V 2.5 V L3VSEL Signal 0 HRESET HRESET 1 L3 Bus Input Threshold is Relative to: 1.8 V 1.5 V 2.5 V 2.5 V Notes 1, 4 1, 3 1, 2 1
, OR T UC ND
C IN
.
Notes: 1. Caution: The input threshold selection must agree with the OVDD/GVDD voltages supplied. See notes in Table 2. 2. To select the 2.5-V threshold option for the processor bus, BVSEL should be tied to HRESET so that the two signals change state together. Similarly, to select 2.5 V for the L3 bus, tie L3VSEL to HRESET. This is the preferred method for selecting this mode of operation. 3. Applicable to L3 bus interface only. HRESET is the inverse of HRESET. 4. If used, pulldown resistors should be less than 250 .
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
11
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Table 4 provides the recommended operating conditions for the MPC7450.
Table 4. Recommended1 Operating Conditions
Recommended Value Characteristic Symbol Min Core supply voltage PLL supply voltage Processor bus supply voltage BVSEL = 0 BVSEL = HRESET or OVDD L3 bus supply voltage L3VSEL = 0 L3VSEL = HRESET or GVDD L3VSEL = HRESET Input voltage Processor bus L3 bus JTAG signals Die-junction temperature VDD AVDD OVDD OVDD GVDD 1.55 1.55 Max 1.85 1.85 V V 3 2, 3 Unit Notes
1.8 V 5%
Freescale Semiconductor, Inc...
Notes: BY 1. These are the recommended and tested operating conditions. Proper device operation outside of these conditions D is not guaranteed. VE 2. This voltage is the inputHIthe filter discussed in Section 1.9.2, "PLL Power Supply Filtering" and not necessarily to C the voltage at the AVDD pin which may be reduced from VDD by the filter. AR 3. 1.6 V nominal. Operation at core voltages up to 1.8 V is supported, but the power consumption given in Table 7 must be adjusted correspondingly by the formula: P = C V 2 f, where P is the power consumption, C is a constant, V is the core voltage, and f is the core frequency.
E RE F
E AL SC
S
O IC Vin EM
GVDD Vin Vin Tj
GVDD
R 1.8 V O5% T C 2.5 DU V 5% N
1.5 V 5% GND GND GND 0
2.5 V 5% ,
C IN
.
V V V V V V V V C
OVDD GVDD OVDD 105
Table 5 provides the package thermal characteristics for the MPC7450.
Table 5. Package Thermal Characteristics
Characteristic CBGA package thermal resistance, junction-to-case thermal resistance (typical) CBGA package thermal resistance, die junction-to-lead thermal resistance (typical) Symbol JC JB Value <0.1 2.2 Rating C/W C/W
Note: Refer to Section 1.9, "System Design Information," for more details about thermal management.
12
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Table 6 provides the DC electrical characteristics for the MPC7450.
Table 6. DC Electrical Specifications
At recommended operating conditions. See Table 4.
Characteristic
Nominal Bus Voltage1 1.5 1.8 2.5
Symbol
Min GVDD x 0.65 OVDD/GVDD x 0.65 1.7 -0.3 -0.3
Max
Unit
Notes
Input high voltage (all inputs except SYSCLK)
VIH VIH VIH VIL VIL VIL CVIH CVIL
GVDD + 0.3
V
6
Input low voltage (all inputs except SYSCLK)
1.5 1.8 2.5
Freescale Semiconductor, Inc...
SYSCLK input high voltage SYSCLK input low voltage Input leakage current, Vin = GVDD/OVDD + 0.3 V High impedance (off-state) leakage current, Vin = GVDD/OVDD + 0.3 V
-- --
Output high voltage, IOH = -5 mA D E
E AL -- Iin C ES E -- FR ITSI BY
1.5 1.8 2.5 1.5 1.8 2.5 VOH VOH VOH VOL VOL VOL Cin
S -0.3
-- --
O IC 1.4 EM
-0.3
C OVDD/GVDD IN + 0.3 , GVDD x 0.35 OR T OVDD/GVDD x 0.35 UC ND
0.7 OVDD + 0.3 0.4 10 10 -- -- -- 0.45 0.45 0.7 9.5 8.0
OVDD/GVDD + 0.3
.
V V V V V V V A A V V V V V V pF pF 4 4 6 2, 3 2, 3, 5 6 6
V HI C AR
OVDD/GVDD - 0.45 OVDD/GVDD - 0.45 1.7 -- -- -- -- --
Output low voltage, IOL = 5 mA
Capacitance, Vin = 0 V, f = 1 MHz
L3 interface All other inputs
--
Notes: 1. Nominal voltages; see Table 4 for recommended operating conditions. 2. For processor bus signals, the reference is OVDD while GVDD is the reference for the L3 bus signals. 3. Excludes test signals and IEEE 1149.1 boundary scan (JTAG) signals. 4. Capacitance is periodically sampled rather than 100% tested. 5. The leakage is measured for nominal OVDD/GVDD and VDD, or both OVDD/GVDD and VDD must vary in the same direction (for example, both OVDD and VDD vary by either +5% or -5%). 6. Applicable to L3 bus interface only.
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
13
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Table 7 provides the power consumption for the MPC7450.
Table 7. Power Consumption for MPC7450
Processor (CPU) Frequency Unit 533 MHz Full-Power Mode Typical Maximum 11.6 15.2 Doze Mode Typical -- Nap Mode Typical 13.0 17.5 14.5 19.0 600 MHz 667 MHz Notes
Freescale Semiconductor, Inc...
Typical
Deep Sleep Mode (PLL Disabled) EE
Typical
Notes: ED 1. These values apply for all IV processor bus and L3 bus ratios. The values do not include I/O supply power valid (OVDD and GVDD) or CHsupply power (AVDD). OVDD and GVDD power is system dependent, but is typically PLL R <20% of VDD power. Worst case power consumption for AVDD < 3 mW. A 2. Maximum power is measured at nominal VDD (see Table 4) while running an entirely cache-resident, contrived sequence of instructions which keep the execution units, with or without AltiVec, maximally busy. 3. Typical power is an average value measured at the nominal recommended VDD (see Table 4) in a system while running a typical code sequence. 4. Doze mode is not a user-definable state; it is an intermediate state between full-power and either nap or sleep mode. As a result, power consumption for this mode is not tested.
BY
FR
E AL 0.6 SC
410
S Sleep Mode
1.3
N ,I R TO-- -- C DU ON IC 1.7 M 1.4 E
0.7 0.8
C.
W W
1, 3 1, 2
W
1, 3, 4
W
1, 3
W
1, 3
460
510
mW
1, 3
1.5.2
AC Electrical Characteristics
This section provides the AC electrical characteristics for the MPC7450. After fabrication, functional parts are sorted by maximum processor core frequency as shown in Section 1.5.2.1, "Clock AC Specifications," and tested for conformance to the AC specifications for that frequency. The processor core frequency is determined by the bus (SYSCLK) frequency and the settings of the PLL_EXT and PLL_CFG[0:3] signals. Parts are sold by maximum processor core frequency; see Section 1.11, "Ordering Information."
1.5.2.1
Clock AC Specifications
Table 8 provides the clock AC timing specifications as defined in Figure 3.
14
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics Table 8. Clock AC Timing Specifications
At recommended operating conditions. See Table 4.
Maximum Processor Core Frequency Characteristic Symbol 533 MHz Min Processor frequency VCO frequency SYSCLK frequency SYSCLK cycle time SYSCLK rise and fall time fcore fVCO fSYSCLK tSYSCLK tKR and tKF tKHKL/tSYSCLK 500 1000 33 7.5 -- 40 -- -- Max 533 1066 133 30 1.0 60 150 600 MHz Min 500 1000 Max 600 1200 667 MHz Min 500 1000 Max 667 1333 MHz 1 1 1 Unit Notes
Freescale Semiconductor, Inc...
SYSCLK duty cycle measured at OVDD/2 SYSCLK jitter Internal PLL relock time
Notes: 1. Caution: The SYSCLK frequency, PLL_EXT EE PLL_CFG[0:3] settings must be chosen such that the resulting and R SYSCLK (bus) frequency, CPU (core) frequency, and PLL (VCO) frequency do not exceed their respective F maximum or minimum operating frequencies. Refer to the PLL_EXT, PLL_CFG[0:3] signal description in BY PLL_EXT and PLL_CFG[0:3] settings. Section 1.9.1, "PLL Configuration," for valid D 2. Rise and fall times for the SYSCLK input measured from 0.4 V to 1.4 V. VE and characterization. I 3. Timing is guaranteed by design 4. This represents total input jitter--short term and long term combined--and is guaranteed by design. CH 5. Relock timing is guaranteed by design and characterization. PLL-relock time is the maximum amount of time AR required for PLL lock after a stable VDD and SYSCLK are reached during the power-on reset sequence. This specification also applies when the PLL has been disabled and subsequently re-enabled during sleep mode. Also note that HRESET must be held asserted for a minimum of 255 bus clocks after the PLL-relock time during the power-on reset sequence. 6. The SYSCLK driver's closed loop jitter bandwidth should be <500 kHz at -20 dB. The bandwidth must be set low to allow cascade connected PLL-based devices to track SYSCLK drivers with the specified jitter.
100E AL SC
S
C 33 133 33 133 IN , 7.5 30 7.5 OR 30 T -- 1.0 UC -- 1.0 D 40 60 40 60 ON IC -- -- 150 EM 150
-- 100 -- 100
. MHz
MHz ns ns % ps s
2 3 4, 6 5
Figure 3 provides the SYSCLK input timing diagram.
SYSCLK VM tKHKL tSYSCLK VM = Midpoint Voltage (OVDD/2) VM VM CVIH CVIL tKR tKF
Figure 3. SYSCLK Input Timing Diagram
1.5.2.2
Processor Bus AC Specifications
Table 9 provides the processor bus AC timing specifications for the MPC7450 as defined in Figure 4 and Figure 5. Timing specifications for the L3 bus are provided in Section 1.5.2.3, "L3 Clock AC Specifications."
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
15
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics Table 9. Processor Bus AC Timing Specifications
At recommended operating conditions. See Table 4.
All Speed Grades Parameter Symbol2 Min Mode select input setup to HRESET HRESET to mode select input hold Input setup times: A[0:35], AP[0:4], GBL, TBST, TSIZ[0:2], WT, CI, D[0:63], DP[0:7] AACK, ARTRY, BG, CKSTP_IN, DBG, DTI[0:3], HRESET, INT, MCP, QACK, SMI, SRESET, TA, TBEN, TEA, TS, EXT_QUAL, PMON_IN, SHD[0:1] tMVRH tMXRH tAVKH tIVKH 8 0 2.0 2.0 Max -- -- -- tsysclk ns ns . 3, 4, 5, 6 3, 5 Unit Notes
Freescale Semiconductor, Inc...
E Output valid times: FR A[0:35], AP[0:4], GBL, TBST, TSIZ[0:2], WT, CI TS BY D D[0:63], DP[0:7] E ARTRY/SHD0/SHD1 IV H BR, CKSTP_OUT, DRDY, HIT, PMON_OUT, QREQ]
Output hold times: A A[0:35], AP[0:4], GBL, TBST, TSIZ[0:2], WT, CI TS D[0:63], DP[0:7] ARTRY/SHD0/SHD1 BR, CKSTP_OUT, DRDY, HIT, PMON_OUT, QREQ SYSCLK to output enable
Input hold times: CO A[0:35], AP[0:4], GBL, TBST, TSIZ[0:2], WT, CI, tAXKH I M D[0:63], DP[0:7] SE AACK, ARTRY, BG, CKSTP_IN, DBG, DTI[0:3], t LE IXKH HRESET, INT, MCP, QACK, SMI, SRESET, TA, A TBEN, TEA, TS, EXT_QUAL, PMON_IN, SHD[0:1] C S
, -- OR T UC ND
0 0 -- --
C IN
ns
E
ns tKHAV tKHTSV tKHDV tKHARV tKHOV tKHAX tKHTSX tKHDX tKHARX tKHOX tKHOE tKHOZ tKHTSPZ tKHARP -- -- -- -- -- 0.5 0.5 0.5 0.5 0.5 0.5 -- -- -- 2.5 2.5 2.8 2.5 2.5 ns -- -- -- -- -- -- 3.5 1 1 ns ns tsysclk tsysclk 5, 7, 10 5, 8, 9, 10
RC
SYSCLK to output high impedance (all except TS, ARTRY, SHD0, SHD1) SYSCLK to TS high impedance after precharge Maximum delay to ARTRY/SHD0/SHD1 precharge
16
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics Table 9. Processor Bus AC Timing Specifications (continued)
At recommended operating conditions. See Table 4.
All Speed Grades Parameter Symbol2 Min SYSCLK to ARTRY/SHD0/SHD1 high impedance after precharge tKHARPZ -- Max 2 tsysclk 5, 8, 9, 10 Unit Notes
Notes: 1. All input specifications are measured from the midpoint of the signal in question to the midpoint of the rising edge . of the input SYSCLK. All output specifications are measured from the midpoint of the rising NC of SYSCLK to edge I the midpoint of the signal in question. All output timings assume a purely resistive 50- load (see Figure 4). Input R,lengths, vias, and and output timings are measured at the pin; time-of-flight delays must be added for trace O connectors in the system. CT 2. The symbology used for timing specifications herein follows the pattern of t(signal)(state)(reference)(state) for inputs DU and t(reference)(state)(signal)(state) for outputs. For example, tIVKH symbolizesN time input signals (I) reach the valid the state (V) relative to the SYSCLK reference (K) going to the high (H)CO or input setup time. And tKHOV I state symbolizes the time from SYSCLK(K) going high (H) until outputs (O) are valid (V) or output valid time. Input hold M time can be read as the time that the input signal (I) went invalid (X) with respect to the rising clock edge (KH) SE E (note the position of the reference and its state for inputs) and output hold time can be read as the time from the L rising edge (KH) until the output went invalid (OX). A C edge of HRESET (see Figure 5). 3. The setup and hold time is with respect to the rising ES 4. This specification is for configuration mode E select only. 5. tsysclk is the period of the external clockFR (SYSCLK) in nanoseconds (ns). The numbers given in the table must be multiplied by the period of SYSCLK Y compute the actual time duration (in ns) of the parameter in question. to B 6. Mode select signals are: BVSEL, L3VSEL, PLL_CFG[0:3], PLL_EXT, BMODE[0:1]. D E 7. According to the bus protocol, TS is driven only by the currently active bus master. It is asserted low then IV precharged high before returning to high impedance as shown in Figure 6. The nominal precharge width for TS is H 0.5 x tSYSCLK, i.e., less than the minimum tSYSCLK period, to ensure that another master asserting TS on the RC A following clock will not contend with the precharge. Output valid and output hold timing is tested for the signal asserted. Output valid time is tested for precharge.The high impedance behavior is guaranteed by design. 8. According to the bus protocol, ARTRY can be driven by multiple bus masters through the clock period immediately following AACK. Bus contention is not an issue because any master asserting ARTRY will be driving it low. Any master asserting it low in the first clock following AACK will then go to high impedance for one clock before precharging it high during the second cycle after the assertion of AACK. The nominal precharge width for ARTRY is 1.0 tsysclk; that is, it should be high impedance as shown in Figure 6 before the first opportunity for another master to assert ARTRY. Output valid and output hold timing is tested for the signal asserted.The high-impedance behavior is guaranteed by design. 9. According to the MPX bus protocol, SHD0 and SHD1 can be driven by multiple bus masters beginning the cycle of TS. Timing is the same as ARTRY, i.e., the signal is high impedance for a fraction of a cycle, then negated for up to an entire cycle (crossing a bus cycle boundary) before being three-stated again. The nominal precharge width for SHD0 and SHD1 is 1.0 tsysclk. The edges of the precharge vary depending on the programmed ratio of core to bus (PLL configurations). 10. Guaranteed by design and not tested.
Freescale Semiconductor, Inc...
Figure 4 provides the AC test load for the MPC7450.
Output Z0 = 50 OVDD/2
RL = 50
Figure 4. AC Test Load
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
17
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Figure 5 provides the mode select input timing diagram for the MPC7450.
HRESET tMVRH tMXRH Mode Signals VM = Midpoint Voltage (OVDD/2) VM
Figure 5. Mode Input Timing Diagram
Figure 6 provides the input/output timing diagram for the MPC7450.
Freescale Semiconductor, Inc...
SYSCLK
VM tAVKH tIVKH
VM
All Inputs
All Outputs (Except TS, CH ARTRY, SHD0, SHD1) AR All Outputs (Except TS, ARTRY, SHD0, SHD1)
D VE I
BY
E t REKHAV F
E AL SC
S
O IC tAXKH EM
tIXKH
, OR T UC VM ND
C IN
.
tKHAX tKHDX tKHOX
tKHDV tKHOV
tKHOE
tKHOZ
tKHTSPZ tKHTSV tKHTSX tKHTSV TS tKHARPZ tKHARV ARTRY, SHD0, SHD1 tKHARP tKHARX
VM = Midpoint Voltage (OVDD/2)
Figure 6. Input/Output Timing Diagram
18
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
1.5.2.3
L3 Clock AC Specifications
The L3_CLK frequency is programmed by the L3 configuration register (L3CR[6:8]) core-to-L3 divisor ratio. See Table 17 for example core and L3 frequencies at various divisors. Table 10 provides the potential range of L3_CLK output AC timing specifications as defined in Figure 7. The maximum L3_CLK frequency is the core frequency divided by two. However, very few SRAM designs will be able to operate in this mode and most designs will select a greater core-to-L3 divisor to provide a longer L3_CLK period for read and write access to the L3 SRAMs. Therefore, the maximum L3_CLK frequency shown in Table 10 is considered to be the practical maximum in a typical system. The maximum L3_CLK frequency for any application of the MPC7450 will be a function of the AC .timings of the MPC7450, the AC timings for the SRAM, bus loading, and printed circuit board trace length. NC
Freescale Semiconductor, Inc...
I R,the L3 interface on a Motorola is similarly limited by system constraints and cannot perform tests of O socketed part on a functional tester at the maximum frequencies of Table 10. Therefore, functional operation CT U and AC timing information are tested at core-to-L3 divisors which result in L3 frequencies at 200 MHz or ND less. O IC M Table 10. L3_CLK Output AC Timing Specifications SE At recommended operating conditions. See Table 4. E AL C All Speed Grades Parameter Symbol Unit Notes ES Min Max RE F L3 clock frequency fL3_CLK 75 266 MHz 1 BY D 3.75 13.3 ns L3 clock cycle time tL3_CLK E IV /t 50 % 2 L3 clock duty cycle t CH (L1_CLK0 to L1_CLK1) CHCL L3_CLK -- 200 ps 3 L3 clock output-to-output skew tL3CSKW1 AR
L3 clock output-to-output skew (L1_CLK[0:1] to L1_ECHO_CLK[1:3]) L3 clock jitter tL3CSKW2 -- -- 100 50 ps ps 4 5
Notes: 1. The maximum L3 clock frequency will be system dependent. See Section 1.5.2.3, "L3 Clock AC Specifications" for an explanation that this maximum frequency is not functionally tested at speed by Motorola. 2. The nominal duty cycle of the L3 output clocks is 50% measured at midpoint voltage. 3. Maximum possible skew between L3_CLK0 and L3_CLK1. This parameter is critical to the address and control signals which are common to both SRAM chips in the L3. 4. Maximum possible skew between L3_CLK0 and L3_ECHO_CLK1 or between L3_CLK1 and L3_ECHO_CLK3 for PB2 or Late Write SRAM. This parameter is critical to the write data signals which are separately latched onto each SRAM part by these pairs of signals. 5. Guaranteed by design and not tested. The input jitter on SYSCLK affects L3 output clocks and the L3 address/data/ control signals equally and, therefore, is already comprehended in the AC timing and does not have to be considered in the L3 timing analysis. The clock-to-clock jitter shown here is uncertainty in the internal clock period caused by supply voltage noise or thermal effects. This must be accounted for, along with clock skew, in any L3 timing analysis.
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
19
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
The L3_CLK timing diagram is shown in Figure 7.
.
tL3_CLK tCHCL L3_CLK0 VM VM VM
tL3CR
tL3CF
L3_CLK1
VM
VM
VM tL3CSKW1
VM
For PB2 or Late Write: L3_ECHO_CLK1
VM
VM
Freescale Semiconductor, Inc...
L3_ECHO_CLK3
VM
VM
C ES E 1.5.2.4 L3 Bus AC Specifications FR BY The MPC7450 L3 interface supports three different types of SRAM: source-synchronous, double data rate D SRAMs, and pipeline burst (PB2) SRAMs. Each requires a different (DDR) MSUG2 SRAM, LateE Write IV protocol on the L3 interface and a different routing of the L3 clock signals. The type of SRAM is H programmed in L3CR[22:23] and the MPC7450 then follows the appropriate protocol for that type. The RC A designer must connect and route the L3 signals appropriately for each type of SRAM. Following are some
observations about the chip-to-SRAM interface. * * * * The routing for the point-to-point signals (L3_CLK[0:1], L3DATA[0:63], L3DP[0:7], and L3_ECHO_CLK[0:3]) to a particular SRAM must be delay matched. For a 1-Mbyte L3, use address bits 0:16 (bit 0 is LSB). No pull-up resistors are required for the L3 interface. For high speed operations, L3 interface address and control signals should be a "T" with minimal stubs to the two loads; data and clock signals should be point-to-point to their single load. Figure 8 shows the AC test load for the L3 interface.
Output Z0 = 50 OVDD/2
Figure 7. L3_CLK_OUT Output Timing Diagram A
LE
, OR T VM VM UC tND L3CSKW2 O VM VM IC M tL3CSKW2 SE
C IN
.
RL = 50
Figure 8. AC Test Load for the L3 Interface
In general, if routing is short, delay-matched, and designed for incident wave reception and minimal reflection, there is a high probability that the AC timing of the MPC7450 L3 interface will meet the maximum frequency operation of appropriately chosen SRAMs. This is despite the pessimistic, guard-banded AC specifications (see Table 12, Table 13, and Table 14), the limitations of functional testers described in Section 1.5.2.3, "L3 Clock AC Specifications," and the uncertainty of clocks and signals which inevitably make worst-case critical path timing analysis pessimistic.
20
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
More specifically, certain signals within groups should be delay-matched with others in the same group while intergroup routing is less critical. Only the address and control signals are common to both SRAMs and additional timing margin is available for these signals. The double-clocked data signals are grouped with individual clocks as shown in Figure 9 or Figure 11, depending on the type of SRAM. For example, for the MSUG2 DDR SRAM (see Figure 9); L3DATA[0:31], L3DP[0:3], and L3_CLK[0] form a closely coupled group of outputs from the MPC7450; while L3DATA[0:15], L3DP[0:1], and L3_ECHO_CLK[0] form a closely coupled group of inputs. The MPC7450 RISC Microprocessor Family User's Manual refers to logical settings called "Sample Points" used in the synchronization of reads from the receive FIFO. The computation of the correct value . for this setting is system-dependent and is described in the MPC7450 RISC Microprocessor Family User's NC Manual. Three specifications are used in this calculation and are given in Table 11. It is essential that all ,I three specifications are included in the calculations to determine the sample points,R incorrect settings can as O result in errors and unpredictable behavior. For more information, see the MPC7450 RISC Microprocessor CT Family User's Manual. DU
Table 11. Sample Points Calculation Parameters CO
Max Unit Notes S Symbol LE Delay from processor clock to internal_L3_CLK tAC 3/4 tL3_CLK 1 CA Spins Delay from internal_L3_CLK to L3_CLK[n] output tCO 3 ns 2 E RE Delay from L3_ECHO_CLK[n] to receive latch tECI 3 ns 3 F BY Notes: D 1. This specification describes a logical offset between the internal clock edge used to launch the L3 address VE edge is phase-aligned with the processor clock edge) and the internal clock I and control signals (this clock edge used to launch the L3_CLK[n] signals. With proper board routing, this offset ensures that the CH L3_CLK[n] edge will arrive at the SRAM within a valid address window and provide adequate setup and AR Parameter hold time. This offset is reflected in the L3 bus interface AC timing specifications, but must also be separately accounted for in the calculation of sample points and, thus, is specified here. 2. This specification is the delay from a rising or falling edge on the internal_L3_CLK signal to the corresponding rising or falling edge at the L3CLK[n] pins. 3. This specification is the delay from a rising or falling edge of L3_ECHO_CLK[n] to data valid and ready to be sampled from the FIFO.
Freescale Semiconductor, Inc...
I M E
N
1.5.2.4.1
L3 Bus AC Specifications for DDR MSUG2 SRAMs
When using DDR MSUG2 SRAMs at the L3 interface, the parts should be connected as shown in Figure 9. Outputs from the MPC7450 are actually launched on the edges of an internal clock phase-aligned to SYSCLK (adjusted for core and L3 frequency divisors). L3_CLK0 and L3_CLK1 are this internal clock output with 90 phase delay, so outputs are shown synchronous to L3_CLK0 and L3_CLK1. Output valid times are typically negative when referenced to L3_CLKn because the data is launched one-quarter period before L3_CLKn to provide adequate setup time at the SRAM after the delay-matched address, control, data, and L3_CLKn signals have propagated across the printed wiring board. Inputs to the MPC7450 are source-synchronous with the CQ clock generated by the DDR MSUG2 SRAMs. These CQ clocks are received on the L3_ECHO_CLKn inputs of the MPC7450. An internal circuit delays the incoming L3_ECHO_CLKn signal such that it is positioned within the valid data window at the internal receiving latches. This delayed clock is used to capture the data into these latches which comprise the receive FIFO. This clock is asynchronous to all other processor clocks. This latched data is subsequently read out of the FIFO synchronously to the processor clock. The time between writing and reading the data is set by the using the sample point settings defined in the L3CR register.
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
21
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Table 12 provides the L3 bus interface AC timing specifications for the configuration as shown in Figure 9, assuming the timing relationships shown in Figure 10 and the loading shown in Figure 8.
Table 12. L3 Bus Interface AC Timing Specifications for MSUG2
At recommended operating conditions. See Table 4.
All Speed Grades Parameter L3_CLK rise and fall time Setup times:Data and parity Input hold times:Data and parity Symbol Min tL3CR and tL3CF tL3DVEH and tL3DVEL tL3DXEH and tL3DXEL tL3CHDV and tL3CLDV tL3CHOV tL3CHDX and tL3CLDX tL3CHOX tL3CLDZ E tL3CHOZ FR -- -(tL3_ECHO_CLK/4 - 0.35) Max 1.0 -- Unit ns ns ns ns ns Notes 1 2, 3, 4 2, 4 5, 6, 7 5, 7 5, 6, 7 5, 7
Freescale Semiconductor, Inc...
Valid times:Data and parity All other outputs Output hold times: Data and parity All other outputs L3_CLK to high impedance: Data and parity All other outputs
A SC E
tL3_CLK/4 - 0.35 LE tL3_CLK/4 + 0.5 -- --
N ,I R tL3_ECHO_CLK/4 -- TO + 0.35 C DU L3_CLK/4 + 0.5 -- N -t -- CO tL3_CLK/4 + 1.0 I M SE
-- -- tL3_CLK/2 tL3_CLK/4 + 2.0
C.
ns
B Notes: D 1. Rise and fall times for the L3_CLK output are measured from 20% to 80% of GVDD. E 2. For DDR, all input specifications are measured from the midpoint of the signal in question to the midpoint voltage IV of the rising or falling CH of the input L3_ECHO_CLKn (see Figure 10). Input timings are measured at the pins. edge 3. For DDR, the input R will typically follow the edge of L3_ECHO_CLKn as shown in Figure 10. For consistency data A with other input setup time specifications, this will be treated as negative input setup time. 4. tL3_ECHO_CLK/4 is one-fourth the period of L3_ECHO_CLKn. This parameter indicates that the MPC7450 can latch an input signal that is valid for only a short time before and a short time after the midpoint between the rising and falling (or falling and rising) edges of L3_ECHO_CLKn at any frequency. 5. All output specifications are measured from the midpoint voltage of the rising (or for DDR write data, also the falling) edge of L3_CLK to the midpoint of the signal in question. The output timings are measured at the pins. All output timings assume a purely resistive 50- load (see Figure 8). 6. For DDR, the output data will typically lead the edge of L3_CLKn as shown in Figure 10. For consistency with other output valid time specifications, this will be treated as negative output valid time. 7. tL3_CLK/4 is one-fourth the period of L3_CLKn. This parameter indicates that the specified output signal is actually launched by an internal clock delayed in phase by 90. Therefore, there is a frequency component to the output valid and output hold times such that the specified output signal will be valid for approximately one L3_CLK period starting three-fourths of a clock prior to the edge on which the SRAM will sample it and ending one-fourth of a clock period after the edge it will be sampled.
Y
22
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Figure 9 shows the typical connection diagram for the MPC7450 interfaced to MSUG2 SRAMs such as the Motorola MCM64E836.
MPC7450 L3ADDR[0:17] L3_CNTL[0] L3_CNTL[1] Denotes Receive (SRAM to MPC7450) Aligned Signals L3_ECHO_CLK[0] {L3DATA[0:15], L3DP[0:1]} L3_CLK[0] {L3DATA[16:31], L3DP[2:3]} L3_ECHO_CLK[1] Denotes Transmit (MPC7450 to SRAM) Aligned Signals SRAM 0 SA[0:17] B1 B2 CQ D[0:17]
B3 G
GND GND GND NC NC GVDD/2
LBO
Freescale Semiconductor, Inc...
I CH AR Figure 9. Typical Source Synchronous 2-Mbyte L3 Cache DDR Interface
CQ
E AL {L3_DATA[32:47], L3DP[4:5]} C ES E L3_CLK[1] FR {L3DATA[48:63], L3DP[6:7]} BY D L3_ECHO_CLK[3] VE
L3ECHO_CLK[2]
S
O IC EM
N ,I D[18:35] R TO CQ UC ND
CK
C.CQ
CK
CQ
SRAM 1 SA[0:17] B3 B1 G B2 CQ LBO CQ CQ CK
GND GND GND NC NC GVDD/2
D[0:17] CK D[18:35]
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
23
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Figure 10 shows the L3 bus timing diagrams for the MPC7450 interfaced to MSUG2 SRAMs.
Outputs L3_CLK[0,1] VM tL3CHOV VM tL3CHOZ tL3CHOX ADDR, L3CNTL tL3CLDV tL3CHDV L3DATA WRITE VM VM VM
Freescale Semiconductor, Inc...
, OR T tL3CHDX UC tL3CLDX D Note: tL3CHDV and tL3CLDV as drawn here will be negative numbers, i.e., output valid time will be ON time before the clock edge. IC M Inputs SE E L L3_ECHO_CLK[0,1,2,3] VM CA VM VM VM ES E tL3DXEL tL3DVEL FR tL3DVEH BY L3 Data and Data D E Parity Inputs IV tL3DXEH CH Note: tL3DVEH and tR A L3DVEL as drawn here will be negative numbers, i.e., input setup time will be
time after the clock edge. VM = Midpoint Voltage (GVDD/2)
tL3CLDZ
C IN
.
VM
Figure 10. L3 Bus Timing Diagrams for L3 Cache DDR SRAMs
1.5.2.4.2
L3 Bus AC Specifications for PB2 and Late Write SRAMs
When using PB2 or Late Write SRAMs at the L3 interface, the parts should be connected as shown in Figure 11. These SRAMs are synchronous to the MPC7450; one L3_CLKn signal is output to each SRAM to latch address, control, and write data. Read data is launched by the SRAM synchronous to the delayed L3_CLKn signal it received. The MPC7450 needs a copy of that delayed clock which launched the SRAM read data to know when the returning data will be valid. Therefore, L3_ECHO_CLK1 and L3_ECHO_CLK3 must be routed halfway to the SRAMs and then returned to the MPC7450 inputs L3_ECHO_CLK0 and L3_ECHO_CLK2 respectively. Thus, L3_ECHO_CLK0 and L3_ECHO_CLK2 are phase-aligned with the input clock received at the SRAMs. The MPC7450 will latch the incoming data on the rising edge of L3_ECHO_CLK0 and L3_ECHO_CLK2. Table 13 provides the L3 bus interface AC timing specifications for the configuration shown in Figure 11, assuming the timing relationships of Figure 12 and the loading of Figure 8.
24
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics Table 13. L3 Bus Interface AC Timing Specifications for PB2 and Late Write SRAMs
At recommended operating conditions. See Table 4.
All Speed Grades Parameter L3_CLK rise and fall time Setup times:Data and parity Input hold times:Data and parity Valid times:Data and parity All other outputs Output hold times:Data and parity All other outputs L3_CLK to high impedance:Data and parity All other outputs Symbol Min tL3CR and tL3CF tL3DVEH tL3DXEH tL3CHDV tL3CHOV tL3CHDX tL3CHOX tL3CHDZ tL3CHOZ -- 1.5 -- Max 1.0 -- 0.5 ns ns ns ns ns ns 1, 5 2, 5 2, 5 3, 4, 5 4 3, 4, 5 4, 5 5 5 Unit Notes
Freescale Semiconductor, Inc...
Notes: 1. Rise and fall times for the L3_CLK output are measured from 20% to 80% of GVDD. E 2. All input specifications are measured from the midpoint of the signal in question to the midpoint voltage of the rising AL edge of the input L3_ECHO_CLKn (see Figure 10).C Input timings are measured at the pins. ES 3. All output specifications are measured from the midpoint voltage of the rising edge of L3_CLKn to the midpoint of E the signal in question. The output timings are measured at the pins. All output timings assume a purely resistive FR 50- load (see Figure 10). BY 4. tL3_CLK/4 is one-fourth the period of L3_CLKn. This parameter indicates that the specified output signal is actually D in phase by 90. Therefore, there is a frequency component to the output launched by an internal clock E delayed IV valid and output hold times such that the specified output signal will be valid for approximately one L3_CLK period H starting three-fourths C a clock prior to the edge on which the SRAM will sample it and ending one-fourth of a clock Rofwill be sampled. period after the edge it A 5. Timing behavior and characterization are currently being evaluated.
. -- tL3_CLK/4 +NC 1.0 I -- tL3_CLK/4 + 1.0 R, tL3_CLK/4 + 0.5 TO -- tL3_CLK/4 + 0.5UC -- ND -- 2.0 O -- 2.0 IC M SE
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
25
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Figure 11 shows the typical connection diagram for the MPC7450 interfaced to PB2 SRAMs, such as the Motorola MCM63R737, or Late Write SRAMs, such as the Motorola MCM63R836A.
L3_ADDR[0:17] L3_CNTL[0] L3_CNTL[1] Denotes Receive (SRAM to MPC7450) Aligned Signals L3_ECHO_CLK[0] {L3_DATA[0:15], L3_DP[0:1]} L3_CLK[0] {L3_DATA[16:31], L3_DP[2:3]} L3_ECHO_CLK[1] SRAM 0 SA[0:17] SS SW, SBWa, SBWb, SBWc, SBWd DQ[0:17] ZZ
MPC7450
Freescale Semiconductor, Inc...
Denotes Transmit (MPC7450 to SRAM) Aligned Signals
{L3_DATA[32:47], L3_DP[4:5]} SC {L3_DATA[48:63], L3_DP[6:7]} BY L3_ECHO_CLK[3]
L3_ECHO_CLK[2] LE
S
O IC EM
N ,I R DQ[18:36] TO C DU N
K
C.
GND GND GVDD/2
G K
EE RL3_CLK[1] F
A
SRAM 1 SA[0:17] SS SW, SBWa, SBWb, SBWc, SBWd DQ[0:17] ZZ K DQ[18:36] G K
GND GND GVDD/2
H RC A Figure 11. Typical Synchronous 1-MByte L3 Cache Late Write or PB2 Interface
D VE I
26
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Figure 12 shows the L3 bus timing diagrams for the MPC7450 interfaced to PB2 or Late Write SRAMs.
Outputs
L3_CLK[0,1] L3_ECHO_CLK[1,3] VM tL3CHOV ADDR, L3_CNTL tL3CHOZ tL3CHDV L3DATA WRITE VM tL3CHOX
Freescale Semiconductor, Inc...
Inputs
L3_ECHO_CLK[0,2]
Parity Inputs L3 Data and Data
1.5.2.5
VM BY = Midpoint Voltage (GVDD/2) D E Figure 12. L3 Bus Timing Diagrams for Late Write or PB2 SRAMs IV H RC A IEEE 1149.1 AC Timing Specifications
E RE F
E AL SC
O IC M VM SE
, OR T tL3CHDZ UC ND
tL3CHDX
C IN
.
tL3DVEH tL3DXEH
Table 14 provides the IEEE 1149.1 (JTAG) AC timing specifications as defined in Figure 14, Figure 15, Figure 16, and Figure 17.
Table 14. JTAG AC Timing Specifications (Independent of SYSCLK)1
At recommended operating conditions. See Table 4.
Parameter TCK frequency of operation TCK cycle time TCK clock pulse width measured at 1.4 V TCK rise and fall times TRST assert time Input setup times: Boundary-scan data TMS, TDI Input hold times: Boundary-scan data TMS, TDI
Symbol fTCLK t TCLK tJHJL tJR and tJF tTRST tDVJH tIVJH tDXJH tIXJH
Min 0 30 15 0 25 4 0 20 25
Max 33.3 -- -- 2 -- -- --
Unit MHz ns ns ns ns ns
Notes
2 3
ns -- -- 3
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
27
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics Table 14. JTAG AC Timing Specifications (Independent of SYSCLK)1 (continued)
At recommended operating conditions. See Table 4.
Parameter Valid times: Boundary-scan data TDO Output hold times: Boundary-scan data TDO
Symbol
Min
Max
Unit ns
Notes
tJLDV tJLOV tJLDX tJLOX
4 4 TBD TBD
20 25 ns TBD TBD
4
Freescale Semiconductor, Inc...
N , I ns tJLDZ 3 19R 4, 5 3 9 5 tJLOZ TO UC Notes: D 1. All outputs are measured from the midpoint voltage of the falling/rising edge of TCLK to the midpoint of the signal ONassume a purely resistive 50- load in question. The output timings are measured at the pins. All output timings IC M (see Figure 13). Time-of-flight delays must be added for trace lengths, vias, and connectors in the system. SE 2. TRST is an asynchronous level sensitive signal. The setup time is for test purposes only. E 3. Non-JTAG signal input timing with respect to TCK. L 4. Non-JTAG signal output timing with respect to TCK. A C 5. Guaranteed by design and characterization. ES E FR and the boundary-scan outputs of the MPC7450. Figure 13 provides the AC test load for TDO BY D E OutputIV OVDD/2 Z0 = 50 RL = 50 CH AR
TCK to output high impedance: Boundary-scan data TDO
C.
4
Figure 13. Alternate AC Test Load for the JTAG Interface
Figure 14 provides the JTAG clock input timing diagram.
TCLK VM tJHJL tTCLK VM = Midpoint Voltage (OVDD/2) VM VM tJR tJF
Figure 14. JTAG Clock Input Timing Diagram
Figure 15 provides the TRST timing diagram.
TRST VM tTRST VM = Midpoint Voltage (OVDD/2) VM
Figure 15. TRST Timing Diagram
28
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Electrical and Thermal Characteristics
Figure 16 provides the boundary-scan timing diagram.
TCK VM tDVJH Boundary Data Inputs tJLDV tJLDX
Input Data Valid
VM
tDXJH
Freescale Semiconductor, Inc...
C Output Data ValidN I , OR T tJLDZ UC D Boundary Output Data Valid ON Data Outputs IC VM = Midpoint Voltage EM /2) (OVDD S Figure 16. Boundary-Scan Timing Diagram LE CA Figure 17 provides the test access port timingES diagram. E FR TCK VM VM BY D E tIVJH IV tIXJH H C Input TDI, TMS AR Data Valid
Boundary Data Outputs tJLOV tJLOX TDO Output Data Valid
.
tJLOZ TDO Output Data Valid VM = Midpoint Voltage (OVDD/2)
Figure 17. Test Access Port Timing Diagram
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
29
Freescale Semiconductor, Inc.
Pin Assignments
1.6
Part A
Pin Assignments
Figure 18 (in Part A) shows the pinout of the MPC7450, 483 CBGA package as viewed from the top surface. Part B shows the side profile of the CBGA package to indicate the direction of the top surface view.
1 A B C D E F 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22
Freescale Semiconductor, Inc...
G H J K L M N P R T U V W Y AA AB
Not to Scale
H RC A
D VE I
BY
E RE F
E AL SC
S
O IC EM
, OR T UC ND
C IN
.
Part B
Substrate Assembly Encapsulant View Die
Figure 18. Pinout of the MPC7450, 483 CBGA Package as Viewed from the Top Surface
30
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Pinout Listings for the 483 CBGA Package
1.7
Pinout Listings for the 483 CBGA Package
Table 15. Pinout Listing for the MPC7450, 483 CBGA Package
Table 15 provides the pinout listing for the MPC7450, 483 CBGA package.
Signal Name A[0:35]
Pin Number E10, N4, E8, N5, C8, R2, A7, M2, A6, M1, A10, U2, N2, P8, M8, W4, N6, U6, R5, Y4, P1, P4, R6, M7, N7, AA3, U4, W2, W1, W3, V4, AA1, D10, J4, G10, D9 U1 L5, L6, J1, H2, G5 T2 B2 R3 C6 C4 K1 G6 R1
Active High
I/O I/O
I/F Select1 BVSEL
Notes 11
AACK AP[0:4]
Freescale Semiconductor, Inc...
ARTRY AVDD BG BMODE0 BMODE1 BR BVSEL CI CKSTP_IN CKSTP_OUT CLK_OUT D[0:63]
H K6 RC A N1
F3
D VE I
BY
E RE F
E AL SC
S
, OR T Low I/O UC D --N Input O IC Low Input EM
Low Input I/O High Low Low Low High Low Low Low High High Input Input Output Input Output Input Output Output I/O
. NC IBVSEL
BVSEL BVSEL N/A BVSEL BVSEL BVSEL BVSEL N/A BVSEL BVSEL BVSEL BVSEL BVSEL 3, 7 8 5 6 8
AB15, T14, R14, AB13, V14, U14, AB14, W16, AA11, Y11, U12, W13, Y14, U13, T12, W12, AB12, R12, AA13, AB11, Y12, V11, T11, R11, W10, T10, W11, V10, R10, U10, AA10, U9, V7, T8, AB4, Y6, AB7, AA6, Y8, AA7, W8, AB10, AA16, AB16, AB17, Y18, AB18, Y16, AA18, W14, R13, W15, AA14, V16, W6, AA12, V6, AB9, AB6, R7, R9, AA9, AB8, W9 V1 AA2, AB3, AB2, AA8, R8, W5, U8, AB5 T6 P2, T5, U3, P6 B9 M4
DBG DP[0:7] DRDY DTI[0:3]) EXT_QUAL GBL
Low High Low High High Low
Input I/O Output Input Input I/O
BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL 4 4, 13 9
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
31
Freescale Semiconductor, Inc.
Pinout Listings for the 483 CBGA Package Table 15. Pinout Listing for the MPC7450, 483 CBGA Package (continued)
Signal Name GND Pin Number A22, B1, B5, B12, B14, B16, B18, B20, C3, C9, C21, D7, D13, D15, D17, D19, E2, E5, E21, F10, F12, F14, F16, F19, G4, G7, G17, G21, H13, H15, H19, H5, J3, J10, J12, J14, J17, J21, K5, K9, K11, K13, K15, K19, L10, L12, L14, L17, L21, M3, M6, M9, M11, M13, M19, N10, N12, N14, N17, N21, P3, P9, P11, P13, P15, P19, R17, R21, T13, T15, T19, T4, T7, T9, U17, U21, V2, V5, V8, V12, V15, V19, W7, W17, W21, Y3, Y9, Y13, Y15, Y20, AA5, AA17, AB1, AB22 Active -- I/O -- I/F Select1 N/A Notes
Freescale Semiconductor, Inc...
GVDD
HIT HRESET INT L1_TSTCLK L2_TSTCLK L3VSEL L3ADDR[0:17]
, OR T -- -- B13, B15, B17, B19, B21, D12, D14, D16, UC D D18, D21, E19, F13, F15, F17, F21, G19, H12, H14, H17, H21, J19, K17, K21, L19, ON M17, M21, N19, P17, P21, R15, R19, T17, IC M T21, U19, V17, V21, W19, Y21 SE E K2 Low Output AL C A3 Low Input ES E J6 Low Input FR H4 High Input BY J2 High Input D VE A4 I High Input CH L16, K20, K18, J22, J20, H22, J18, High Output L18, AR K22, G22, F22, G20, H18, E22, J16, K16, H20,
F20 V22, C17 L20, L22 AA19, AB20, U16, W18, AA20, AB21, AA21, T16, W20, U18, Y22, R16, V20, W22, T18, U20, N18, N20, N16, N22, M16, M18, M20, M22, R18, T20, U22, T22, R20, P18, R22, M15, G18, D22, E20, H16, C22, F18, D20, B22, G16, A21, G15, E17, A20, C19, C18, A19, A18, G14, E15, C16, A17, A16, C15, G13, C14, A14, E13, C13, G12, A13, E12, C12 AB19, AA22, P22, P16, C20, E16, A15, A12 V18, P20, E18, E14 F6 B8 A8, A11, B6, B11, C11, D11, D3, D5, E11, E7, F2, F11, G11, G2, H11, H9, J8 High Low High Output Output I/O
C IN
.
N/A
15
BVSEL BVSEL BVSEL BVSEL BVSEL N/A L3VSEL
4
9 12 3, 7
L3_CLK[0:1] L3_CNTL[0:1] L3DATA[0:63]
L3VSEL L3VSEL L3VSEL
L3DP[0:7] L3_ECHO_CLK[0:3] LSSD_MODE MCP No Connect
High High Low Low --
I/O Input Input Input --
L3VSEL L3VSEL BVSEL BVSEL N/A 2, 7
32
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Pinout Listings for the 483 CBGA Package Table 15. Pinout Listing for the MPC7450, 483 CBGA Package (continued)
Signal Name OVDD Pin Number B3, C5, C7, C10, D2, E3, E9, F5, G3, G9, H7, J5, K3, L7, M5, N3, P7, R4, T3, U5, U7, U11, U15, V3, V9, V13, Y2, Y5, Y7, Y10, Y17, Y19, AA4, AA15 A2, F7, C2, D4 H8 E6 B4 K7 Y1 L4, L8 G8 G1 D6 N8 L3 B7 Active -- I/O -- I/F Select1 N/A Notes
PLL_CFG[0:3] PLL_EXT PMON_IN PMON_OUT QACK
High High Low
Input Input Input
BVSEL BVSEL BVSEL C.
Freescale Semiconductor, Inc...
QREQ SHD[0:1] SMI SRESET SYSCLK TA TBEN TBST TCK TDI TDO TEA TEST[0:5] TEST[6] TMS TRST TS TSIZ[0:2] TT[0:4] WT
H E4 RC A
J7 H1 T1
D VE I
BY
E RE F
E AL SC
S
N Low Output , IBVSEL R Low Input O BVSEL T UC Low D Output BVSEL N O Low I/O BVSEL IC Input BVSEL EM Low
Low -- Low High Low High High High Low -- -- High Low Low High High Low Input Input Input Input Output Input Input Output Input Input Input Input Input I/O Output I/O Output BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL BVSEL
10
8
7
B10, H6, H10, D8, F9, F8 A9 K4 C1 P5 L1,H3,D1 F1, F4, K8, A5, E1 L2
2 9 7 7, 14 8
8
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
33
Freescale Semiconductor, Inc.
Package Description Table 15. Pinout Listing for the MPC7450, 483 CBGA Package (continued)
Signal Name VDD Pin Number J9, J11, J13, J15, K10, K12, K14, L9, L11, L13, L15, M10, M12, M14, N9, N11, N13, N15, P10, P12, P14 Active -- I/O -- I/F Select1 N/A Notes
Freescale Semiconductor, Inc...
Notes: 1. OVDD supplies power to the processor bus, JTAG, and all control signals except the L3 cache controls (L3CTL[0:1]); GVDD supplies power to the L3 cache interface (L3ADDR[0:17], L3DATA[0:63], L3DP[0:7], L3_ECHO_CLK[0:3], and L3_CLK[0:1]) and the L3 control signals L3_CNTL[0:1]; and VDD supplies power to the . processor core and the PLL (after filtering to become AVDD). For actual recommended value of Vin or supply NC voltages, see Table 4. I 2. These input signals are for factory use only and must be pulled up to OVDD for normalR, machine operation. O 3. To program the processor interface I/O voltage, connect BVSEL to either GND (selects 1.8 V) or to HRESET CT (selects 2.5 V). To program the L3 interface, connect L3VSEL to either GND (selects 1.8 V) or to HRESET U (selects 2.5 V) or to HRESET (selects 1.5 V). If used, pulldown resistors should be less than 250 . ND 4. Ignored in 60x bus mode. O 5. This signal selects between MPX bus mode (asserted) and 60x bus mode (negated) and will be sampled at IC M HRESET going high. SE negation by HRESET (inverse of HRESET), to 6. This signal must be negated during reset, by pull-up to OVDD or E ensure proper operation. AL 7. Internal pull-up on die. SC 8. These pins require weak pull-up resistors (forE example, 4.7 k) to maintain the control signals in the negated state after they have been actively negatedE released by the MPC7450 and other bus masters. and FR 9. These input signals for factory use only and must be pulled down to GND for normal machine operation. BY 10. This pin can externally enable the performance monitor counters (PMC) if they are internally enabled by the Dcontrol the PMC, it should be pulled down to GND so that the software can software. If it will not be used to E enable the PMC. IV 11. Unused address pins must be pulled down to GND. CH 12. This test signal AR is recommended to be tied to HRESET; however, other configurations will not adversely affect performance. 13. These signals must be pulled down to GND if unused or if the MPC7450 is in 60x bus mode. 14. This signal must be asserted during reset, by pull-down to GND or assertion by HRESET, to ensure proper operation. 15. Power must be supplied to GVDD, even when the L3 interface is disabled or unused.
1.8
1.8.1
Package Description
Package Parameters for the MPC7450, 483 CBGA
Package outline Interconnects Pitch Minimum module height Maximum module height Ball diameter 29 x 29 mm 483 (22 x 22 ball array - 1) 1.27 mm (50 mil) -- 3.22 mm 0.89 mm (35 mil)
The following sections provide the package parameters and mechanical dimensions for the CBGA package.
The package parameters are as provided in the following list. The package type is 29 x 29 mm, 483-lead ceramic ball grid array (CBGA).
34
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Package Description
1.8.2
Mechanical Dimensions for the MPC7450, 483 CBGA
Figure 19 provides the mechanical dimensions and bottom surface nomenclature for the MPC7450, 483 CBGA package.
2X
0.2 D D1
A1 CORNER
B
D3
Capacitor Region
A 0.2 A
D2
Freescale Semiconductor, Inc...
E3 E E2
E1
2X
0.2 C
BY 1 2 3 4 5 6 7 8 9 10 111213141516 171819 2021 22 D E IV H RC A
E RE F
E AL SC
S
, OR NOTES:T 1. UC DIMENSIONING AND TOLERANCING D PER ASME Y14.5M, 1994. IN MILLIMETERS. ON2. DIMENSIONSCORNER INDEX IS A TOP SIDE A1 IC 3. METALIZED FEATURE WITH VARIOUS EM SHAPES. BOTTOM SIDE. A1 CORNER
IS DESIGNATED WITH A BALL MISSING FROM THE ARRAY.
C IN
.
1
AB AA Y W V U T R P N M L K J H G F E D C B A
Millimeters DIM A A1 A2 A3 b D
A3 A2 A1 A
MIN -0.80 1.08 -0.82 -- 8.94 --
MAX 3.22 1.00 1.32 0.60 0.93 11.6 -- 6.9
29.00 BSC
D1 D2 D3 e E E1 E2 E3
1.27 BSC 29.00 BSC -- 8.94 -- 11.6 -- 6.9
e
483X
b 0.3 A B C 0.15 A
Figure 19. Mechanical Dimensions and Bottom Surface Nomenclature for the MPC7450, 483 CBGA
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
35
Freescale Semiconductor, Inc.
System Design Information
1.9
System Design Information
This section provides system and thermal design recommendations for successful application of the MPC7450.
1.9.1
PLL Configuration
The MPC7450 PLL is configured by the PLL_EXT and PLL_CFG[0:3] signals. For a given SYSCLK (bus) frequency, the PLL configuration signals set the internal CPU and VCO frequency of operation. PLL_EXT will normally be pulled low but can be asserted for extended modes of operation. The PLL configuration . for the MPC7450 is shown in Table 16 for a set of example frequencies. In this example, shaded cells NC I represent settings that, for a given SYSCLK frequency, result in core and/or VCO frequencies that do not R, comply with the 600-MHz column in Table 8. TO
Freescale Semiconductor, Inc...
D Table 16. MPC7450 Microprocessor PLL Configuration Example for 600 MHz Parts ON C Example Bus-to-Core FrequencyIin MHz (VCO Frequency in MHz) M PLL_CFG Bus-toSE Bus Core-toBus Bus Bus Bus Bus [0:3] E Core VCO 33.3 MHz L MHz 66.6 MHz 75 MHz 83 MHz 100 MHz A50 Multiplier Multiplier SC E16 0000 0.5x 2x 25 33 37 47 50 RE (33) (50) (66) (75) (83) (100) F Y 0100 2x 66 100 133 150 166 200 B2x D (133) (200) (266) (300) (333) (400) E IV 0110 2.5x 2x 83 125 166 187 208 250 CH (166) (250) (333) (375) (415) (500) AR
1000 1110 1010 0111 1011 1001 1101 0101 0010 0001 1100 3x 2x 2x 2x 2x 2x 2x 2x 2x 2x 2x 2x 100 (200) 116 (233) 133 (266) 150 (300) 166 (333) 183 (366) 200 (400) 216 (433) 233 (466) 250 (500) 266 (533) 150 (300) 175 (350) 200 (400) 225 (450) 250 (500) 275 (550) 300 (600) 325 (630) 350 (700) 375 (750) 400 (800) 200 (400) 233 (466) 266 (533) 300 (600) 333 (666) 366 (733) 400 (800) 433 (866) 466 (933) 500 (1000) 533 (1066) 225 (450) 262 (525) 300 (600) 337 (675) 375 (750) 412 (825) 450 (900) 488 (975) 525 (1050) 563 (1125) 600 (1200) 250 (500) 291 (581) 333 (666) 374 (747) 415 (830) 457 (913) 498 (996) 540 (1080) 581 (1162) 623 (1245) 664 (1328) 300 (600) 350 (700) 400 (800) 450 (900) 500 (1000) 550 (1100) 600 (1200) 650 (1300) 700 (1400) 750 (1500) 3.5x 4x 4.5x 5x 5.5x 6x 6.5x 7x 7.5x 8x
UC
PLL_EXT
Bus 133 MHz 66 (133) 266 (533) 333 (666) 400 (800) 466 (933) 533 (1066) 600 (1200) 667 (1333) 733 (1466)
0 0 0 0 0 0 0 0 0 0 0 0 0 0
36
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
System Design Information Table 16. MPC7450 Microprocessor PLL Configuration Example for 600 MHz Parts (continued)
Example Bus-to-Core Frequency in MHz (VCO Frequency in MHz) PLL_EXT PLL_CFG [0:3] Bus-toCore-toBus Bus Bus Bus Bus Bus Bus Core VCO 33.3 MHz 50 MHz 66.6 MHz 75 MHz 83 MHz 100 MHz 133 MHz Multiplier Multiplier 9x 10x 11x 12x 13x 14x 15x 16x 2x 2x 2x 2x 2x 2x 2x 2x 300 (600) 333 (666) 366 (733) 400 (800 433 (866) 466 (933) 450 (900) 500 (1000) 550 (1100) 600 (1200) 650 (1300) 600 (1200) 667 (1333) 733 (1466) 675 (1350) 750 (1500) 747 (1494)
1 1 1 1
0111 1010 1001 1011 0101 1100 0001 1101 0011 1111
Freescale Semiconductor, Inc...
1 1 1 1 0 0
PLL off/bypass D
H Notes: RC A 1. PLL_CFG[0:3] settings not listed are reserved.
2.
E IV off PLL
BY
E 533 FR (1066)
500CA 750 (1000) ES (1500)
L
700 S (1400) E
O IC EM
, OR T UC ND
C IN
.
PLL off, SYSCLK clocks core circuitry directly PLL off, no core clocking occurs
3.
4.
The sample bus-to-core frequencies shown are for reference only. Some PLL configurations may select bus, core, or VCO frequencies which are not useful, not supported, or not tested for by the MPC7450; see Section 1.5.2.1, "Clock AC Specifications," for valid SYSCLK, core, and VCO frequencies. In PLL-bypass mode, the SYSCLK input signal clocks the internal processor directly and the PLL is disabled. However, the bus interface unit requires a 2x clock to function. Therefore, an additional signal, EXT_QUAL, must be driven at one-half the frequency of SYSCLK and offset in phase to meet the required input setup tIVKH and hold time tIXKH (see Table 9). The result will be that the processor bus frequency will be one-half SYSCLK while the internal processor is clocked at SYSCLK frequency. This mode is intended for factory use and emulator tool use only. Note: The AC timing specifications given in this document do not apply in PLL-bypass mode. In PLL-off mode, no clocking occurs inside the MPC7450 regardless of the SYSCLK input.
The MPC7450 generates the clock for the external L3 synchronous data SRAMs by dividing the core clock frequency of the MPC7450. The core-to-L3 frequency divisor for the L3 PLL is selected through the L3_CLK bits of the L3CR register. Generally, the divisor must be chosen according to the frequency supported by the external RAMs, the frequency of the MPC7450 core, and timing analysis of the circuit board routing. Table 17 shows various example L3 clock frequencies that can be obtained for a given set of core frequencies.
Table 17. Sample Core-to-L3 Frequencies
Core Frequency (MHz) 500 533 /2 250 266 /2.5 200 213 /3 167 178 /3.5 143 152 /4 125 133 /5 100 107 /6 83 89
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
37
Freescale Semiconductor, Inc.
System Design Information Table 17. Sample Core-to-L3 Frequencies (continued)
Core Frequency (MHz) 5502 6002 6502 6662 7002 7332 /2 275 300 325 333 350 367 /2.5 220 240 260 266 280 293 /3 183 200 217 222 233 244 /3.5 157 171 186 190 200 209 /4 138 150 163 167 175 183 /5 110 120 130 133 140 147 . /6 92 100 108 111 117 122
Freescale Semiconductor, Inc...
ON IC 1.9.2 PLL Power Supply Filtering EM S LE provide power to the clock generation PLL. To The AVDD power signal is provided on the MPC7450 to A ensure stability of the internal clock, the power SC supplied to the AVDD input signal should be filtered of any E noise in the 500 kHz to 10 MHz resonant frequency range of the PLL. A circuit similar to the one shown in RE minimum effective series inductance (ESL) is recommended. Figure 20 using surface mount capacitors with F BY possible to the AVDD pin to minimize noise coupled from nearby The circuit should be placed as D close as circuits. It is often possible to E route directly from the capacitors to the AVDD pin, which is on the periphery V of the 360 CBGA footprint and very close to the periphery of the 483 CBGA footprint, without the HI C inductance of vias. R A
10 VDD 2.2 F 2.2 F Low ESL Surface Mount Capacitors GND AVDD
Notes: 1. The core and L3 frequencies are for reference only. Some examples may represent coreR, L3 frequencies which or are not useful, not supported, or not tested for the MPC7450; see Section 1.5.2.3, "L3O T Clock AC Specifications," for valid L3_CLK frequencies. (Shaded cells do not comply with Table 10.) UC 2. These core frequencies are not supported by all speed grades; see Table 8. D
C IN
Figure 20. PLL Power Supply Filter Circuit
1.9.3
Power Supply Voltage Sequencing
The notes in Table 2 contain cautions about the sequencing of the external bus voltages and core voltage of the MPC7450 (when they are different). These cautions are necessary for the long-term reliability of the part. If they are violated, the electrostatic discharge (ESD) protection diodes will be forward-biased and excessive current can flow through these diodes. If the system power supply design does not control the voltage sequencing, the circuit shown in Figure 21 can be added to meet these requirements. The 30BF10
38
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
System Design Information
diodes (see Figure 21) control the maximum potential difference between the external bus and core power supplies on power-up and the 1N5820 diodes regulate the maximum potential difference on power-down.
2.5 V 30BF10 30BF10 1.6 V
1N5820
1N5820
Figure 21. Example Voltage Sequencing Circuit
Freescale Semiconductor, Inc...
1.9.4
Due to the MPC7450 dynamic power management feature, large address and data buses, and high operating M frequencies, the MPC7450 can generate transient power surges and high frequency noise in its power SE supply, especially while driving large capacitive loads.E L This noise must be prevented from reaching other CA components in the MPC7450 system, and the MPC7450 itself requires a clean, tightly regulated source of S power. Therefore, it is recommended that the system designer place at least one decoupling capacitor at each EE VDD, OVDD, and GVDD pin of the MPC7450. It is also recommended that these decoupling capacitors FR receive their power from separate VDD, OVDD/GVDD, and GND power planes in the PCB, utilizing short BY traces to minimize inductance. D E These capacitors should HI a value of 0.01 F or 0.1 F. Only ceramic surface mount technology (SMT) have capacitors should be C used to minimize lead inductance, preferably 0508 or 0603 orientations where AR connections are made along the length of the part. Consistent with the recommendations of Dr. Howard Johnson in High Speed Digital Design: A Handbook of Black Magic (Prentice Hall, 1993) and contrary to previous recommendations for decoupling Motorola microprocessors, multiple small capacitors of equal value are recommended over using multiple values of capacitance.
Decoupling Recommendations O C
I
, OR T UC ND
C IN
.
V
In addition, it is recommended that there be several bulk storage capacitors distributed around the PCB, feeding the VDD, GVDD, and OVDD planes, to enable quick recharging of the smaller chip capacitors. These bulk capacitors should have a low equivalent series resistance (ESR) rating to ensure the quick response time necessary. They should also be connected to the power and ground planes through two vias to minimize inductance. Suggested bulk capacitors: 100-330 F (AVX TPS tantalum or Sanyo OSCON).
1.9.5
Connection Recommendations
To ensure reliable operation, it is highly recommended to connect unused inputs to an appropriate signal level. Unused active low inputs should be tied to OVDD. Unused active high inputs should be connected to GND. All NC (no-connect) signals must remain unconnected. Power and ground connections must be made to all external VDD, OVDD, GVDD, and GND pins in the MPC7450. If the L3 interface is not used, GVDD should be connected to the OVDD power phase, and L3VSEL should be connected to BVSEL.
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
39
Freescale Semiconductor, Inc.
System Design Information
1.9.6
Output Buffer DC Impedance
The MPC7450 processor bus and L3 I/O drivers are characterized over process, voltage, and temperature. To measure Z0, an external resistor is connected from the chip pad to OVDD or GND. Then, the value of each resistor is varied until the pad voltage is OVDD/2 (see Figure 22). The output impedance is the average of two components, the resistances of the pull-up and pull-down devices. When data is held low, SW2 is closed (SW1 is open), and RN is trimmed until the voltage at the pad equals OVDD/2. RN then becomes the resistance of the pull-down devices. When data is held high, SW1 is closed (SW2 is open), and RP is trimmed until the voltage at the pad equals OVDD/2. RP then becomes . the resistance of the pull-up devices. RP and RN are designed to be close to each other in value. Then, Z0 = NC (RP + RN)/2. I
OVDD
Freescale Semiconductor, Inc...
Data
H RC A
D VE I
BY
E RE F
E AL SC
S
O IC EM
RN Pad
, OR T UC ND
SW2
SW1
RP
OGND
Figure 22. Driver Impedance Measurement
Table 18 summarizes the signal impedance results. The impedance increases with junction temperature and is relatively unaffected by bus voltage.
Table 18. Impedance Characteristics
VDD = 1.5 V, OVDD = 1.8 V 5%, Tj = 5-85C
Impedance Z0 Typical Maximum
Processor Bus 33-42 31-51
L3 Bus 34-42 32-44
Unit
1.9.7
Pull-Up/Pull-Down Resistor Requirements
The MPC7450 requires high-resistive (weak: 4.7 k) pull-up resistors on several control pins of the bus interface to maintain the control signals in the negated state after they have been actively negated and released by the MPC7450 or other bus masters. These pins are: TS, ARTRY, SHDO, and SHD1. Some pins designated as being for factory test must be pulled up to OVDD or down to GND to ensure proper device operation. For the MPC7450, 483 BGA, the pins that must be pulled up to OVDD are: LSSD_MODE and TEST[0:5]; the pins that must be pulled down are: L1_TSTCLK and TEST[6].
40
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
System Design Information
In addition, the MPC7450 has one open-drain style output that requires a pull-up resistor (weak or stronger: 4.7 k-1 k) if it is used by the system. This pin is CKSTP_OUT. If pull-down resistors are used to configure BVSEL or L3VSEL, the resistors should be less than 250 (see Table 15). During inactive periods on the bus, the address and transfer attributes may not be driven by any master and may, therefore, float in the high-impedance state for relatively long periods of time. Because the MPC7450 must continually monitor these signals for snooping, this float condition may cause excessive power draw by the input receivers on the MPC7450 or by other receivers in the system. It is recommended that these signals be pulled up through weak (4.7 k) pull-up resistors by the system, or that they may be otherwise . driven by the system during inactive periods of the bus. The snooped address and transfer attribute inputs NC ,I are: A[0:35], AP[0:4], TT[0:4], CI, WT, and GBL.
Freescale Semiconductor, Inc...
If extended addressing is not used, A[0:3] are unused and must be be pulledT low to GND through weak UC low to GND through weak pull-down resistors. If the MPC7450 is in 60x bus mode, DTI[0:3] must be pulled D pull-down resistors. ON
OR
The data bus input receivers are normally turned off when noMI operation is in progress and, therefore, read do not require pull-up resistors on the bus. Other data bus E S receivers in the system, however, may require E pull-ups, or that those signals be otherwise driven by the system during inactive periods by the system. The AL data bus signals are: D[0:63] and DP[0:7]. C If address or data parity is not used by the E system, and the respective parity checking is disabled through FR HID0, the input receivers for those pins are disabled, and those pins do not require pull-up resistors and BY should be left unconnected by the system. If all parity generation is disabled through HID0, then all parity Dthrough HID0, and all parity pins may be left unconnected by the system. E checking should also be disabled
C
ES
IV Hnormally require pull-up resistors. The L3 interface does C not AR
1.9.8
JTAG Configuration Signals
Boundary scan testing is enabled through the JTAG interface signals. The TRST signal is optional in the IEEE 1149.1 specification, but is provided on all processors that implement the PowerPC architecture. While it is possible to force the TAP controller to the reset state using only the TCK and TMS signals, more reliable power-on reset performance will be obtained if the TRST signal is asserted during power-on reset. Because the JTAG interface is also used for accessing the common on-chip processor (COP) function, simply tying TRST to HRESET is not practical. The COP function of these processors allows a remote computer system (typically, a PC with dedicated hardware and debugging software) to access and control the internal operations of the processor. The COP interface connects primarily through the JTAG port of the processor, with some additional status monitoring signals. The COP port requires the ability to independently assert HRESET or TRST in order to fully control the processor. If the target system has independent reset sources, such as voltage monitors, watchdog timers, power supply failures, or push-button switches, then the COP reset signals must be merged into these signals with logic. The arrangement shown in Figure 23 allows the COP to independently assert HRESET or TRST, while ensuring that the target can drive HRESET as well. An optional pull-down resistor on TRST can be populated to ensure that the JTAG scan chain is initialized during power-on if the JTAG interface and COP header will not be used; otherwise, this resistor should be unpopulated and TRST is asserted when the system reset signal (HRESET) is asserted and the JTAG interface is responsible for driving TRST when needed.
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
41
Freescale Semiconductor, Inc.
System Design Information
The COP header shown in Figure 23 adds many benefits--breakpoints, watchpoints, register and memory examination/modification, and other standard debugger features are possible through this interface--and can be as inexpensive as an unpopulated footprint for a header to be added when needed. The COP interface has a standard header for connection to the target system, based on the 0.025" square-post, 0.100" centered header assembly (often called a Berg header). The connector typically has pin 14 removed as a connector key. There is no standardized way to number the COP header shown in Figure 23; consequently, many different pin numbers have been observed from emulator vendors. Some are numbered top-to-bottom then left-to-right, while others use left-to-right then top-to-bottom, while still others number the pins counter . clockwise from pin 1 (as with an IC). Regardless of the numbering, the signal placementC N recommended in ,I Figure 23 is common to all known emulators.
Freescale Semiconductor, Inc...
The QACK signal shown in Figure 23 is usually connected to the PCI bridge CT in a system and is an input chip U normal operation this occurs to the MPC7450 informing it that it can go into the quiescent state. Under D during a low-power mode selection. In order for COP to work, the ON MPC7450 must see this signal asserted (pulled down). While shown on the COP header, not all emulatorC I products drive this signal. If the product M does not, a pull-down resistor can be populated to assert this signal. Additionally, some emulator products SE implement open-drain type outputs and can only drive QACK asserted; for these tools, a pull-up resistor can E L be implemented to ensure this signal is deassertedA tool. C when it is not being driven byitthe neverNote that the pull-up and pull-down resistors on the QACK S signal are mutually exclusive and is necessary to EE populate both in a system. To preserve correct power down operation, QACK should be merged via logic FR so that it also can be driven by the PCI bridge. Y
OR
H RC A
D VE I
B
42
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
System Design Information
From Target Board Sources (if any)
SRESET HRESET QACK 13 11 HRESET SRESET 10 k 10 k 10 k
SRESET HRESET
OVDD OVDD OVDD NC
Freescale Semiconductor, Inc...
1 3 5 7 9 11
2 4 6 8 10 12
4 6 51 15 Key
TRST VDD_SENSE CHKSTP_OUT A
142Y B KEY 13 No pin D 8 CHKSTP_IN E TMS 15 16 IV 9 CH TDO AR 1 COP Connector Physical Pin Out TDI 3 TCK 7 QACK 2 COP Header 10 12 16 Notes: NC NC 2 k4
S EE FR
C
LE
SE
M
2C I k
O
I R,DD OOV 10 k T C TRST DU N
2 k3 GND 10 k OVDD OVDD
.
CHKSTP_OUT 10 k 10 k OVDD OVDD CHKSTP_IN TMS TDO TDI TCK QACK OVDD
10 k5
1. RUN/STOP, normally found on pin 5 of the COP header, is not implemented on the MPC7450. Connect pin 5 of the COP header to OVDD with a 10 K pull-up resistor. 2. Key location; Pin 14 is not physically present on the COP header. 3. . Component not populated. Populate only if JTAG interface is unused. 4. Component not populated. Populate only if debug tool does not drive QACK. 5. Populate only if debug tool uses an open-drain type output and does not actively deassert QACK.
Figure 23. JTAG Interface Connection
1.9.9
Thermal Management Information
This section provides thermal management information for the ceramic ball grid array (CBGA) package for air-cooled applications. Proper thermal control design is primarily dependent on the system-level design--the heat sink, airflow, and thermal interface material. To reduce the die-junction temperature, heat
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
43
Freescale Semiconductor, Inc.
System Design Information
sinks may be attached to the package by several methods--spring clip to holes in the printed-circuit board or package, and mounting clip and screw assembly (see Figure 24); however, due to the potential large mass of the heat sink, attachment through the printed circuit board is suggested. If a spring clip is used, the spring force should not exceed 5.5 pounds.
Heat Sink Heat Sink Clip
CBGA Package
Thermal Interface Material
Freescale Semiconductor, Inc...
B D E The board designer can choose between several types of heat sinks to place on the MPC7450. There are IV heat sinks for the MPC7450 provided by the following vendors: H several commercially available RC Chip Coolers Inc. 800-227-0254 (USA/Canada) A
333 Strawberry Field Rd. Warwick, RI 02887-6979 Internet: www.chipcoolers.com International Electronic Research Corporation (IERC) 135 W. Magnolia Blvd. Burbank, CA 91502 Internet: www.ctscorp.com Thermalloy 2021 W. Valley View Lane Dallas, TX 75234-8993 Internet: www.thermalloy.com Wakefield Engineering 100 Cummings Center, Suite 157H Beverly, MA 01915 Internet: www.wakefield.com Aavid Engineering 250 Apache Trail Terrell, TX 75160 Internet: www.aavid.com 401-739-7600
Y Figure 24. Package Exploded Cross-Sectional View with Several Heat Sink Options
E RE F
E AL SC
S
O IC EM
, OR T UC ND
C IN
.
Printed-Circuit Board
818-842-7277
972-243-4321
781-406-3000
972-551-7330
44
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
System Design Information
Cool Innovations Inc. 260 Spinnaker Way, Unit 8 Concord, Ontario L4K 4P9 Canada Internet: www.coolinnovations.com
905-760-1992
Ultimately, the final selection of an appropriate heat sink depends on many factors, such as thermal performance at a given air velocity, spatial volume, mass, attachment method, assembly, and cost.
Freescale Semiconductor, Inc...
. NC For the exposed-die packaging technology, shown in Table 3, the intrinsic conduction thermal resistance I R, paths are as follows: TO * The die junction-to-case (or top-of-die for exposed silicon) thermal C resistance U * The die junction-to-ball thermal resistance ND CO Figure 25 depicts the primary heat transfer path for a packageIwith an attached heat sink mounted to a M printed-circuit board. SE E AL Convection Radiation External Resistance C ES E FR BY D Heat Sink E Thermal Interface Material IV H C Die/Package Internal Resistance Die Junction AR
1.9.9.1
Internal Package Conduction Resistance
Package/Leads Printed-Circuit Board
Radiation Convection External Resistance (Note the internal versus external package resistance)
Figure 25. C4 Package with Heat Sink Mounted to a Printed-Circuit Board
Heat generated on the active side of the chip is conducted through the silicon, then through the heat sink attach material (or thermal interface material), and finally to the heat sink where it is removed by forced-air convection. Because the silicon thermal resistance is quite small, for a first-order analysis, the temperature drop in the silicon may be neglected. Thus, the thermal interface material and the heat sink conduction/convective thermal resistances are the dominant terms.
1.9.9.2
Thermal Interface Materials
A thermal interface material is recommended at the package lid-to-heat sink interface to minimize the thermal contact resistance. For those applications where the heat sink is attached by spring clip mechanism, Figure 26 shows the thermal performance of three thin-sheet thermal-interface materials (silicone,
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
45
Freescale Semiconductor, Inc.
System Design Information
graphite/oil, floroether oil), a bare joint, and a joint with thermal grease as a function of contact pressure. As shown, the performance of these thermal interface materials improves with increasing contact pressure. The use of thermal grease significantly reduces the interface thermal resistance. That is, the bare joint results in a thermal resistance approximately 7 times greater than the thermal grease joint. Often, heat sinks are attached to the package by means of a spring clip to holes in the printed-circuit board (see Figure 24). Therefore, the synthetic grease offers the best thermal performance, considering the low interface pressure and is recommended due to the high power dissipation of the MPC7450. Of course, the selection of any thermal interface material depends on many factors--thermal performance requirements, manufacturability, service temperature, dielectric properties, cost, etc.
2
Silicone Sheet (0.006 inch) Bare Joint Floroether Oil Sheet (0.007 inch) Graphite/Oil Sheet (0.005 inch) Synthetic Grease
Freescale Semiconductor, Inc...
Specific Thermal Resistance (Kin2/W)
1.5
1
0.5
H RC A
D VE I
BY
E RE F
E AL SC
S
O IC EM
, OR T UC ND
C IN
.
0 0 10 20 30 40 50 60 70 80 Contact Pressure (psi)
Figure 26. Thermal Performance of Select Thermal Interface Material
The board designer can choose between several types of thermal interface. Heat sink adhesive materials should be selected based upon high conductivity, yet adequate mechanical strength to meet equipment shock/vibration requirements. There are several commercially available thermal interfaces and adhesive materials provided by the following vendors: Dow-Corning Corporation Dow-Corning Electronic Materials PO Box 0997 Midland, MI 48686-0997 Internet: www.dow.com Chomerics, Inc. 77 Dragon Court Woburn, MA 01888-4014 Internet: www.chomerics.com 800-248-2481
781-935-4850
46
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
System Design Information
Thermagon Inc. 3256 West 25th Street Cleveland, OH 44109-1668 Internet: www.thermagon.com Loctite Corporation 1001 Trout Brook Crossing Rocky Hill, CT 06067-3910 Internet: www.loctite.com
888-246-9050
860-571-5100
The following section provides a heat sink selection example using one of the commercially available heat . sinks. NC
Freescale Semiconductor, Inc...
I R, O 1.9.9.3 Heat Sink Selection Example CT U For preliminary heat sink sizing, the die-junction temperature can be expressed as follows: ND O Tj = Ta + Tr + (jc + int + sa) x Pd IC M where: SE E Tj is the die-junction temperature AL C Ta is the inlet cabinet ambient temperature ES the Tr is the air temperature rise withinE computer cabinet FR jc is the junction-to-case thermal resistance BY D interface material thermal resistance int is the adhesive or E V Ibase-to-ambient thermal resistance sa is the heat sink H RC Pd is the power dissipated by the device A
During operation, the die-junction temperatures (Tj) should be maintained less than the value specified in Table 4. The temperature of the air cooling the component greatly depends upon the ambient inlet air temperature and the air temperature rise within the electronic cabinet. An electronic cabinet inlet-air temperature (Ta) may range from 30 to 40C. The air temperature rise within a cabinet (Tr) may be in the range of 5 to 10C. The thermal resistance of the thermal interface material (int) is typically about 1.5C/W. For example, assuming a Ta of 30C, a Tr of 5C, a CBGA package jc = 0.1, and a typical power consumption (Pd) of 13.0 W, the following expression for Tj is obtained: Die-junction temperature: Tj = 30C + 5C + (0.1C/W + 1.5C/W + sa) x 13.0 W For this example, a sa value of 3.7C/W or less is required to maintain the die junction temperature below the maximum value of Table 4. Though the die junction-to-ambient and the heat sink-to-ambient thermal resistances are a common figure-of-merit used for comparing the thermal performance of various microelectronic packaging technologies, one should exercise caution when only using this metric in determining thermal management because no single parameter can adequately describe three-dimensional heat flow. The final die-junction operating temperature is not only a function of the component-level thermal resistance, but the system-level design and its operating conditions. In addition to the component's power consumption, a number of factors affect the final operating die-junction temperature--airflow, board population (local heat flux of adjacent components), heat sink efficiency, heat sink attach, heat sink placement, next-level interconnect technology, system air temperature rise, altitude, etc. Due to the complexity and the many variations of system-level boundary conditions for today's microelectronic equipment, the combined effects of the heat transfer mechanisms (radiation, convection,
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
47
Freescale Semiconductor, Inc.
Document Revision History
and conduction) may vary widely. For these reasons, we recommend using conjugate heat transfer models for the board, as well as system-level designs.
1.10 Document Revision History
Table 19 provides a revision history for this hardware specification.
Table 19. Document Revision History
Document Revision Rev 0 Rev 1 Initial release. Substantive Change(s)
Removed CHKS, DX, HPR, IARTRY0, OSHD, SRW[0:1], WAITR from spec and added to TEST signal group; corrected Tables 16 and 17 and respective Notes. TO Reformatted Table 16. Added CI and WT to list of signals requiring CO pull-up resistors. weak Updated power consumption specifications in Table 7. EM
,
C IN
.
Freescale Semiconductor, Inc...
Rev 1.1
Corrected Notes in Table 21 and E Table 15 for L1_TSTCLK, L2TSTCLK, BVSEL, and L3VSEL. AL Added pullup/pulldown requirements for factory test signals to Section 1.9.7, E "Pull-Up/Pull-Down Resistor Requirements". RE
S
I
C DU N
SC
Rev 2
Rev 3
H RC Revised Table 6 to clarify Cin specifications. A
D Updated power consumption specifications in Table 7 and changed low power modes VE Nap, Sleep) to specify `Typical' values. (Doze, I
Y Changed nominal core voltage to 1.6 V; 1.8 V core voltage still supported. B
F
Removed Table 6, "Thermal Sensor Specifications" and accompanying text; TAU is non-functional on MPC7450. Corrected Parameter names and Notes in Table 9. Corrected Table 21 and Table 15 and added Notes 13 and 14. Removed 25 MHz column from Table 16 and added 83 MHz column. Changed all references to inverted HRESET from HRESET to HRESET for clarity and to be consistent with the MPC7450 RISC Microprocessor Family User's Manual. Moved Table 11 (Table 13 in prior revisions) to Section 1.5.2.4, "L3 Bus AC Specifications" because these specifications apply to all supported SRAM types, added specification for tAC and all Notes. Corrected Figure 23. Removed Section 1.9.9, "MPX Outstanding Data Tenures (ODT)". This information is now provided in an Application Note.
48
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Ordering Information Table 19. Document Revision History (continued)
Document Revision Rev 4 Updated document template. Changed "Full-on Mode" to "Full-Power Mode" and "Sleep - PLL disabled" to "Deep Sleep Mode" in Table 7 to be consistent with User's Manual. Removed specifcation for Doze mode power since this is not tested (see Table 7, Note 4). Removed Deep Sleep Mode-Max power specification since this is not tested. Revised Figure 23 and removed Table 23 (information is now included in . figure). Substantive Change(s)
I Revised format and content of Section 1.11, "Ordering Information." N
Removed rows for unsupported core frequencies from TableO 17.
Freescale Semiconductor, Inc...
1.11 Ordering Information
Ordering information for the parts fully covered by document is provided in Section 1.11.1, "Part Numbers Fully Addressed by This S Document." Section 1.11.2, "Part Numbers Not E Fully Addressed by This Document," lists the part numbers which do not fully conform to the specifications AL of this document. These special part numbers C S require an additional document called a part number EE specification. R
O IC this EM specification
T UC ND
R,
C
1.11.1 Part Numbers Fully Addressed by This Document ED
IV
BY
F
Table 20 provides the Motorola part numbering nomenclature for the MPC7450. Note that the individual H RC part numbers correspond to a maximum processor core frequency. For available frequencies, contact your A local Motorola sales office. In addition to the processor frequency, the part numbering scheme also includes an application modifier which may specify special application conditions. Each part number also contains a revision level code which refers to the die mask revision number.
Table 20. Part Numbering Nomenclature
XPC
Product Code XPC2
7450
Part Identifier 7450
RX
Package RX = CBGA
nnn
Processor Frequency1 533 600 667
x
Application Modifier L: 1.6 to 1.8 V 50 mV 0 to 105C
x
Revision Level E: 2.1; PVR = 8000 0201
Notes: 1. Processor core frequencies supported by parts addressed by this specification only. Parts addressed by Part Number Specifications may support other maximum core frequencies. 2. The X prefix in a Motorola part number designates a "Pilot Production Prototype" as defined by Motorola SOP 3-13. These are from a limited production volume of prototypes manufactured, tested, and Q.A. inspected on a qualified technology to simulate normal production. These parts have only preliminary reliability and characterization data. Before pilot production prototypes may be shipped, written authorization from the customer must be on file in the applicable sales office acknowledging the qualification status and the fact that product changes may still occur while shipping pilot production prototypes.
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
49
Freescale Semiconductor, Inc.
Ordering Information
1.11.2 Part Numbers Not Fully Addressed by This Document
Parts with application modifiers or revision levels not fully addressed in this specification document are described in separate part number specifications which supplement and supersede this document; see Table 21.
Table 21. Part Numbers with Separate Documentation
Part Number Series XPC7450RXnnnLD XPC7450RXnnnQx XPC7450RXnnnPD Operating Conditions 1.8 V 50 mV, 0 to 105C 1.9 V 50 mV, 0 to 65C 1.9 V 50 mV, 0 to 65C Document Order Number of Applicable Specification MPC7450RXQXPNS/D ,I
. MPC7450RXLDPNS/D R NC
Freescale Semiconductor, Inc...
Note: For other differences, see applicable specifications.
1.11.3 Part Marking
Parts are marked as the example shown in Figure 27.
H RC A
D VE I
BY
E RE F
E AL SC
S
O IC EM
C DU N
MPC7450RXPDPNS/D TO
XPC7450 RX600LE MMMMMM ATWLYYWWA
7450 Notes: BGA MMMMMM is the 6-digit mask number. ATWLYYWWA is the traceability code. CCCCC is the country of assembly. This space is left blank if parts are assembled in the United States.
Figure 27. Part Marking for BGA Device
50
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
MOTOROLA
Freescale Semiconductor, Inc.
Ordering Information
Freescale Semiconductor, Inc...
H RC A
D VE I
BY
E RE F
E AL SC
S
O IC EM
, OR T UC ND
C IN
.
MOTOROLA
MPC7450 RISC Microprocessor Hardware Specifications For More Information On This Product, Go to: www.freescale.com
51
Freescale Semiconductor, Inc.
HOW TO REACH US: USA/EUROPE/LOCATIONS NOT LISTED: Motorola Literature Distribution; P.O. Box 5405, Denver, Colorado 80217 1-303-675-2140 or 1-800-441-2447 JAPAN: Motorola Japan Ltd.; SPS, Technical Information Center, 3-20-1, Minami-Azabu Minato-ku, Tokyo 106-8573 Japan 81-3-3440-3569 ASIA/PACIFIC: Motorola Semiconductors H.K. Ltd.; Silicon Harbour Centre, 2 Dai King Street, Tai Po Industrial Estate, Tai Po, N.T., Hong Kong 852-26668334 TECHNICAL INFORMATION CENTER: 1-800-521-6274 HOME PAGE: http://www.motorola.com/semiconductors DOCUMENT COMMENTS: FAX (512) 933-2625, Attn: RISC Applications Engineering
Freescale Semiconductor, Inc...
H RC A
D VE I
BY
, OR T implementers to use . There are no express or implied copyright licenses granted UC or integrated circuits based hereunder to design or fabricate any integrated circuits D on the information in this document. ON IC Motorola reserves the right to make changes without further notice to any products M herein. Motorola makes no warranty, representation or guarantee regarding the SE suitability of its products for any particular purpose, nor does Motorola assume any E L liability arisingA of the application or use of any product or circuit, and specifically out Cand all liability, including without limitation consequential or incidental disclaims any S damages. "Typical" parameters which may be provided in Motorola data sheets EE and/or FR specifications can and do vary in different applications and actual
Information in this document is provided solely to enable system and software performance may vary over time. All operating parameters, including "Typicals" must be validated for each customer application by customer's technical experts. Motorola does not convey any license under its patent rights nor the rights of others. Motorola products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the Motorola product could create a situation where personal injury or death may occur. Should Buyer purchase or use Motorola products for any such unintended or unauthorized application, Buyer shall indemnify and hold Motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Motorola was negligent regarding the design or manufacture of the part.
C IN
.
Motorola and the Stylized M Logo are registered in the U.S. Patent and Trademark Office. digital dna is a trademark of Motorola, Inc. All other product or service names are the property of their respective owners. Motorola, Inc. is an Equal Opportunity/Affirmative Action Employer. (c) Motorola, Inc. 2001
MPC7450EC/D
For More Information On This Product, Go to: www.freescale.com


▲Up To Search▲   

 
Price & Availability of MPC7450

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X